Compare Stocks

Comparative Price Performance Over Time

Compare Stocks - Price & Volume

CompanyCurrent Price50-Day Moving Average52-Week RangeMarket CapBetaAvg. VolumeToday's Volume
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$193.24
+2.0%
$202.94
$109.15
$214.91
$160.56B1.596.19 million shs4.42 million shs
KLA Co. stock logo
KLAC
KLA
$648.21
+2.3%
$684.05
$355.88
$729.15
$85.69B1.34959,227 shs707,598 shs
The Best High-Yield Dividend Stocks for 2024 Cover

Looking to generate income with your stock portfolio? Use these ten stocks to generate a safe and reliable source of investment income.

Get This Free Report

Price Performance

Company1-Day Performance7-Day Performance30-Day Performance90-Day Performance1-Year Performance
Applied Materials, Inc. stock logo
AMAT
Applied Materials
-0.16%-7.89%-9.89%+13.42%+66.98%
KLA Co. stock logo
KLAC
KLA
+0.87%-6.61%-10.83%+2.31%+70.35%

MarketRank™

CompanyOverall ScoreAnalyst's OpinionShort Interest ScoreDividend StrengthESG ScoreNews and Social Media SentimentCompany OwnershipEarnings & Valuation
Applied Materials, Inc. stock logo
AMAT
Applied Materials
4.5967 of 5 stars
2.34.02.52.32.71.73.1
KLA Co. stock logo
KLAC
KLA
4.7367 of 5 stars
2.34.03.32.92.02.53.1

Analyst Ratings

CompanyConsensus Rating ScoreConsensus RatingConsensus Price Target% Upside from Current Price
Applied Materials, Inc. stock logo
AMAT
Applied Materials
2.69
Moderate Buy$201.504.27% Upside
KLA Co. stock logo
KLAC
KLA
2.53
Moderate Buy$696.587.46% Upside

Current Analyst Ratings

Latest AMAT and KLAC Analyst Ratings

DateCompanyBrokerageActionRatingPrice TargetDetails
4/23/2024
KLA Co. stock logo
KLAC
KLA
Citigroup
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$700.00 ➝ $725.00
4/16/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Evercore ISI
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageOutperform$260.00
4/16/2024
KLA Co. stock logo
KLAC
KLA
Stifel Nicolaus
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$685.00 ➝ $760.00
4/16/2024
KLA Co. stock logo
KLAC
KLA
Evercore ISI
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageOutperform$800.00
4/11/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Deutsche Bank Aktiengesellschaft
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageHold$225.00
4/11/2024
KLA Co. stock logo
KLAC
KLA
Deutsche Bank Aktiengesellschaft
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageBuy$800.00
4/8/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Cantor Fitzgerald
Subscribe to MarketBeat All Access for the recommendation accuracy rating
UpgradeNeutral ➝ Overweight$220.00 ➝ $260.00
4/8/2024
KLA Co. stock logo
KLAC
KLA
Cantor Fitzgerald
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetNeutral ➝ Neutral$625.00 ➝ $800.00
4/2/2024
KLA Co. stock logo
KLAC
KLA
Wells Fargo & Company
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetOverweight ➝ Overweight$760.00 ➝ $805.00
4/1/2024
KLA Co. stock logo
KLAC
KLA
Susquehanna
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingNeutral ➝ Neutral$675.00
3/25/2024
KLA Co. stock logo
KLAC
KLA
Stifel Nicolaus
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingBuy ➝ Buy$685.00
(Data available from 4/23/2021 forward. View 10+ years of historical ratings with our analyst ratings screener.)

Sales & Book Value

CompanyAnnual RevenuePrice/SalesCashflowPrice/CashBook ValuePrice/Book
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$26.52B6.06$8.88 per share21.77$19.47 per share9.93
KLA Co. stock logo
KLAC
KLA
$9.67B9.06$29.98 per share21.62$21.36 per share30.35

Profitability & Earnings

CompanyNet IncomeEPSTrailing P/E RatioForward P/E RatioP/E GrowthNet MarginsReturn on Equity (ROE)Return on Assets (ROA)Next Earnings Date
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$6.86B$8.5022.7320.822.8427.03%43.56%22.53%5/16/2024 (Estimated)
KLA Co. stock logo
KLAC
KLA
$3.39B$19.6932.9223.623.1327.98%107.56%22.28%4/25/2024 (Confirmed)

Latest AMAT and KLAC Earnings

DateQuarterCompanyConsensus EstimateReported EPSBeat/MissGap EPSRevenue EstimateActual RevenueDetails
4/25/2024N/A
KLA Co. stock logo
KLAC
KLA
$5.08N/A-$5.08N/AN/AN/A  
2/15/2024Q1 24
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.90$2.13+$0.23$1.85$6.48 billion$6.71 billion    
1/25/2024Q2 24
KLA Co. stock logo
KLAC
KLA
$5.91$6.16+$0.25$8.04$2.46 billion$2.49 billion    

Dividends

CompanyAnnual PayoutDividend Yield3-Year Dividend GrowthPayout RatioYears of Consecutive Growth
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.280.66%+11.93%15.06%7 Years
KLA Co. stock logo
KLAC
KLA
$5.800.89%+15.19%29.46%14 Years

Latest AMAT and KLAC Dividends

AnnouncementCompanyPeriodAmountYieldEx-Dividend DateRecord DatePayable Date
3/8/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Quarterly$0.400.79%5/22/20245/23/20246/13/2024
2/7/2024
KLA Co. stock logo
KLAC
KLA
Quarterly$1.450.94%2/15/20242/19/20243/1/2024
(Data available from 1/1/2013 forward)

Debt

CompanyDebt-to-Equity RatioCurrent RatioQuick Ratio
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.31
2.71
1.91
KLA Co. stock logo
KLAC
KLA
1.69
1.91
1.25

Ownership

Institutional Ownership

CompanyInstitutional Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
80.56%
KLA Co. stock logo
KLAC
KLA
86.65%

Insider Ownership

CompanyInsider Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.29%
KLA Co. stock logo
KLAC
KLA
0.12%

Miscellaneous

CompanyEmployeesShares OutstandingFree FloatOptionable
Applied Materials, Inc. stock logo
AMAT
Applied Materials
34,000830.90 million828.49 millionOptionable
KLA Co. stock logo
KLAC
KLA
15,210135.23 million135.07 millionOptionable

AMAT and KLAC Headlines

SourceHeadline
Citigroup Raises KLA (NASDAQ:KLAC) Price Target to $725.00Citigroup Raises KLA (NASDAQ:KLAC) Price Target to $725.00
marketbeat.com - April 23 at 12:34 PM
New York State Common Retirement Fund Sells 20,217 Shares of KLA Co. (NASDAQ:KLAC)New York State Common Retirement Fund Sells 20,217 Shares of KLA Co. (NASDAQ:KLAC)
marketbeat.com - April 23 at 12:28 PM
KLA Co. (NASDAQ:KLAC) Receives Consensus Rating of "Moderate Buy" from AnalystsKLA Co. (NASDAQ:KLAC) Receives Consensus Rating of "Moderate Buy" from Analysts
americanbankingnews.com - April 23 at 2:46 AM
KLA Co. (NASDAQ:KLAC) Given Average Rating of "Moderate Buy" by BrokeragesKLA Co. (NASDAQ:KLAC) Given Average Rating of "Moderate Buy" by Brokerages
marketbeat.com - April 23 at 2:44 AM
Illinois Municipal Retirement Fund Boosts Holdings in KLA Co. (NASDAQ:KLAC)Illinois Municipal Retirement Fund Boosts Holdings in KLA Co. (NASDAQ:KLAC)
marketbeat.com - April 22 at 10:22 PM
What Analyst Projections for Key Metrics Reveal About KLA (KLAC) Q3 EarningsWhat Analyst Projections for Key Metrics Reveal About KLA (KLAC) Q3 Earnings
zacks.com - April 22 at 10:21 AM
Ardevora Asset Management LLP Sells 24,220 Shares of KLA Co. (NASDAQ:KLAC)Ardevora Asset Management LLP Sells 24,220 Shares of KLA Co. (NASDAQ:KLAC)
marketbeat.com - April 21 at 8:53 PM
KLA Corporation Common Stock (KLAC)KLA Corporation Common Stock (KLAC)
nasdaq.com - April 20 at 7:19 PM
Cornerstone Investment Partners LLC Sells 1,277 Shares of KLA Co. (NASDAQ:KLAC)Cornerstone Investment Partners LLC Sells 1,277 Shares of KLA Co. (NASDAQ:KLAC)
marketbeat.com - April 19 at 12:51 PM
KLA Corporation (KLAC)KLA Corporation (KLAC)
finance.yahoo.com - April 18 at 10:24 PM
KLA Unusual Options Activity For April 18KLA Unusual Options Activity For April 18
benzinga.com - April 18 at 5:24 PM
Ninety One SA PTY Ltd Acquires 2,620 Shares of KLA Co. (NASDAQ:KLAC)Ninety One SA PTY Ltd Acquires 2,620 Shares of KLA Co. (NASDAQ:KLAC)
marketbeat.com - April 18 at 3:27 PM
Earnings Preview: KLA (KLAC) Q3 Earnings Expected to DeclineEarnings Preview: KLA (KLAC) Q3 Earnings Expected to Decline
zacks.com - April 18 at 11:06 AM
KLA (KLAC) Scheduled to Post Quarterly Earnings on ThursdayKLA (KLAC) Scheduled to Post Quarterly Earnings on Thursday
americanbankingnews.com - April 18 at 2:14 AM
US close: S&P 500, Nasdaq hit two-month lows as rate-cut hopes sinkUS close: S&P 500, Nasdaq hit two-month lows as rate-cut hopes sink
sharecast.com - April 17 at 9:04 PM
KLA Corp.KLA Corp.
wsj.com - April 17 at 4:04 PM
KLA (NASDAQ:KLAC) Trading Down 2.6%KLA (NASDAQ:KLAC) Trading Down 2.6%
marketbeat.com - April 17 at 11:58 AM
Zurcher Kantonalbank Zurich Cantonalbank Grows Stock Holdings in KLA Co. (NASDAQ:KLAC)Zurcher Kantonalbank Zurich Cantonalbank Grows Stock Holdings in KLA Co. (NASDAQ:KLAC)
marketbeat.com - April 17 at 9:41 AM
KLA (NASDAQ:KLAC) Price Target Raised to $760.00 at Stifel NicolausKLA (NASDAQ:KLAC) Price Target Raised to $760.00 at Stifel Nicolaus
americanbankingnews.com - April 17 at 5:28 AM
KLA (NASDAQ:KLAC) Coverage Initiated by Analysts at Evercore ISIKLA (NASDAQ:KLAC) Coverage Initiated by Analysts at Evercore ISI
americanbankingnews.com - April 17 at 4:30 AM
US open: Stocks mixed as Treasury yields riseUS open: Stocks mixed as Treasury yields rise
sharecast.com - April 16 at 11:41 PM
US close: Stocks finished mixed after Powell urges patience on rate cutsUS close: Stocks finished mixed after Powell urges patience on rate cuts
sharecast.com - April 16 at 11:41 PM
Stocks Close Mixed on Hawkish Fed CommentsStocks Close Mixed on Hawkish Fed Comments
nasdaq.com - April 16 at 11:41 PM
Evercore ISI Group Initiates Coverage of KLA (KLAC) with Outperform RecommendationEvercore ISI Group Initiates Coverage of KLA (KLAC) with Outperform Recommendation
msn.com - April 16 at 6:41 PM

New MarketBeat Followers Over Time

Media Sentiment Over Time

Company Descriptions

Applied Materials logo

Applied Materials

NASDAQ:AMAT
Applied Materials, Inc. engages in the provision of manufacturing equipment, services, and software to the semiconductor, display, and related industries. The company operates through three segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets. The Semiconductor Systems segment develops, manufactures, and sells various manufacturing equipment that is used to fabricate semiconductor chips or integrated circuits. This segment also offers various technologies, including epitaxy, ion implantation, oxidation/nitridation, rapid thermal processing, physical vapor deposition, chemical vapor deposition, chemical mechanical planarization, electrochemical deposition, atomic layer deposition, etching, and selective deposition and removal, as well as metrology and inspection tools. The Applied Global Services segment provides integrated solutions to optimize equipment and fab performance and productivity comprising spares, upgrades, services, remanufactured earlier generation equipment, and factory automation software for semiconductor, display, and other products. The Display and Adjacent Markets segment offers products for manufacturing liquid crystal displays; organic light-emitting diodes; and other display technologies for TVs, monitors, laptops, personal computers, electronic tablets, smart phones, and other consumer-oriented devices. It operates in the United States, China, Korea, Taiwan, Japan, Southeast Asia, and Europe. The company was incorporated in 1967 and is headquartered in Santa Clara, California.
KLA logo

KLA

NASDAQ:KLAC
KLA Corporation designs, manufactures, and markets process control, process-enabling, and yield management solutions for the semiconductor and related electronics industries worldwide. It operates through three segments: Semiconductor Process Control; Specialty Semiconductor Process; and PCB, Display and Component Inspection. The company offers inspection and review tools to identify, locate, characterize, review, and analyze defects on various surfaces of patterned and unpatterned wafers; metrology systems that are used to measure pattern dimensions, film thickness, film stress, layer-to-layer alignment, pattern placement, surface topography, and electro-optical properties for wafers; Wafer defect inspection, review, and metrology systems; reticle inspection and metrology systems; chemical process control equipment; wired and wireless sensor wafers and reticles; and semiconductor software solutions that provide run-time process control, defect excursion identification, process corrections, and defect classification to accelerate yield learning rates and reduce production risk. It also provides etch, plasma dicing, deposition, and other wafer processing technologies and solutions for the semiconductor and microelectronics industry. In addition, the company offers direct imaging, inspection, optical shaping, inkjet and additive printing, UV laser drilling and computer-aided manufacturing and engineering solutions for the PCB market; inspection and electrical testing systems to identify and classify defects, as well as systems to repair defects for the display market; and inspection and metrology systems for quality control and yield improvement in advanced and traditional semiconductor packaging markets. The company was formerly known as KLA-Tencor Corporation and changed its name to KLA Corporation in July 2019. KLA Corporation was incorporated in 1975 and is headquartered in Milpitas, California.