Compare Stocks

Comparative Price Performance Over Time

Compare Stocks - Price & Volume

CompanyCurrent Price50-Day Moving Average52-Week RangeMarket CapBetaAvg. VolumeToday's Volume
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$196.06
+1.5%
$203.14
$109.15
$214.91
$160.56B1.596.17 million shs4.61 million shs
Entegris, Inc. stock logo
ENTG
Entegris
$127.84
+1.3%
$135.98
$69.37
$146.91
$19.02B1.321.38 million shs1.37 million shs
KLA Co. stock logo
KLAC
KLA
$656.54
+1.3%
$684.10
$355.88
$729.15
$88.78B1.34958,146 shs872,949 shs
Lam Research Co. stock logo
LRCX
Lam Research
$884.89
-0.3%
$943.93
$493.42
$1,007.39
$116.01B1.511.07 million shs1.95 million shs
The Best High-Yield Dividend Stocks for 2024 Cover

Looking to generate income with your stock portfolio? Use these ten stocks to generate a safe and reliable source of investment income.

Get This Free Report

Price Performance

Company1-Day Performance7-Day Performance30-Day Performance90-Day Performance1-Year Performance
Applied Materials, Inc. stock logo
AMAT
Applied Materials
+1.46%-1.92%-5.95%+13.57%+77.25%
Entegris, Inc. stock logo
ENTG
Entegris
+1.32%-1.09%-9.93%+3.57%+84.29%
KLA Co. stock logo
KLAC
KLA
+1.29%-0.37%-6.17%+2.31%+83.52%
Lam Research Co. stock logo
LRCX
Lam Research
-0.29%-3.00%-8.91%+2.23%+77.08%

MarketRank™

CompanyOverall ScoreAnalyst's OpinionShort Interest ScoreDividend StrengthESG ScoreNews and Social Media SentimentCompany OwnershipEarnings & Valuation
Applied Materials, Inc. stock logo
AMAT
Applied Materials
4.5978 of 5 stars
2.34.02.52.32.71.73.1
Entegris, Inc. stock logo
ENTG
Entegris
4.6748 of 5 stars
3.34.01.71.03.30.83.1
KLA Co. stock logo
KLAC
KLA
4.7979 of 5 stars
2.34.03.32.92.62.53.1
Lam Research Co. stock logo
LRCX
Lam Research
4.7244 of 5 stars
2.33.02.52.53.82.53.1

Analyst Ratings

CompanyConsensus Rating ScoreConsensus RatingConsensus Price Target% Upside from Current Price
Applied Materials, Inc. stock logo
AMAT
Applied Materials
2.69
Moderate Buy$201.502.77% Upside
Entegris, Inc. stock logo
ENTG
Entegris
2.67
Moderate Buy$141.6410.79% Upside
KLA Co. stock logo
KLAC
KLA
2.55
Moderate Buy$696.586.10% Upside
Lam Research Co. stock logo
LRCX
Lam Research
2.68
Moderate Buy$931.855.31% Upside

Current Analyst Ratings

Latest KLAC, LRCX, AMAT, and ENTG Analyst Ratings

DateCompanyBrokerageActionRatingPrice TargetDetails
4/23/2024
KLA Co. stock logo
KLAC
KLA
Citigroup
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$700.00 ➝ $725.00
4/19/2024
Lam Research Co. stock logo
LRCX
Lam Research
B. Riley
Subscribe to MarketBeat All Access for the recommendation accuracy rating
UpgradeNeutral ➝ Buy$870.00 ➝ $1,100.00
4/18/2024
Lam Research Co. stock logo
LRCX
Lam Research
Needham & Company LLC
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$900.00 ➝ $1,030.00
4/16/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Evercore ISI
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageOutperform$260.00
4/16/2024
KLA Co. stock logo
KLAC
KLA
Stifel Nicolaus
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$685.00 ➝ $760.00
4/16/2024
KLA Co. stock logo
KLAC
KLA
Evercore ISI
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageOutperform$800.00
4/16/2024
Lam Research Co. stock logo
LRCX
Lam Research
Stifel Nicolaus
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$950.00 ➝ $1,050.00
4/16/2024
Lam Research Co. stock logo
LRCX
Lam Research
Evercore ISI
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageOutperform$1,200.00
4/11/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Deutsche Bank Aktiengesellschaft
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageHold$225.00
4/11/2024
KLA Co. stock logo
KLAC
KLA
Deutsche Bank Aktiengesellschaft
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageBuy$800.00
4/11/2024
Lam Research Co. stock logo
LRCX
Lam Research
Deutsche Bank Aktiengesellschaft
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageHold$1,000.00
(Data available from 4/25/2021 forward. View 10+ years of historical ratings with our analyst ratings screener.)

Sales & Book Value

CompanyAnnual RevenuePrice/SalesCashflowPrice/CashBook ValuePrice/Book
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$26.49B6.15$9.02 per share21.74$19.47 per share10.07
Entegris, Inc. stock logo
ENTG
Entegris
$3.52B5.47$6.33 per share20.19$22.70 per share5.63
KLA Co. stock logo
KLAC
KLA
$10.50B8.46$30.10 per share21.81$21.36 per share30.74
Lam Research Co. stock logo
LRCX
Lam Research
$17.43B6.66$37.82 per share23.40$61.12 per share14.48

Profitability & Earnings

CompanyNet IncomeEPSTrailing P/E RatioForward P/E RatioP/E GrowthNet MarginsReturn on Equity (ROE)Return on Assets (ROA)Next Earnings Date
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$6.86B$8.5023.0721.132.8327.03%43.56%22.53%5/16/2024 (Estimated)
Entegris, Inc. stock logo
ENTG
Entegris
$180.67M$1.19107.4330.95N/A5.13%12.00%4.13%5/1/2024 (Confirmed)
KLA Co. stock logo
KLAC
KLA
$3.39B$19.6933.3423.933.1627.98%107.56%22.28%4/25/2024 (Confirmed)
Lam Research Co. stock logo
LRCX
Lam Research
$4.51B$25.8634.2225.493.1424.15%44.47%19.41%N/A

Latest KLAC, LRCX, AMAT, and ENTG Earnings

DateQuarterCompanyConsensus EstimateReported EPSBeat/MissGap EPSRevenue EstimateActual RevenueDetails
5/1/2024N/A
Entegris, Inc. stock logo
ENTG
Entegris
$0.61N/A-$0.61N/AN/AN/A  
4/25/2024N/A
KLA Co. stock logo
KLAC
KLA
$5.08N/A-$5.08N/AN/AN/A  
2/15/2024Q1 24
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.90$2.13+$0.23$1.85$6.48 billion$6.71 billion    
2/13/2024Q4 2023
Entegris, Inc. stock logo
ENTG
Entegris
$0.59$0.65+$0.06$1.05$780.95 million$812.30 million      
1/25/2024Q2 24
KLA Co. stock logo
KLAC
KLA
$5.91$6.16+$0.25$8.04$2.46 billion$2.49 billion    

Dividends

CompanyAnnual PayoutDividend Yield3-Year Dividend GrowthPayout RatioYears of Consecutive Growth
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.600.82%+11.93%18.82%7 Years
Entegris, Inc. stock logo
ENTG
Entegris
$0.400.31%+7.72%33.61%N/A
KLA Co. stock logo
KLAC
KLA
$5.800.88%+15.19%29.46%14 Years
Lam Research Co. stock logo
LRCX
Lam Research
$8.000.90%+14.99%30.94%9 Years

Latest KLAC, LRCX, AMAT, and ENTG Dividends

AnnouncementCompanyPeriodAmountYieldEx-Dividend DateRecord DatePayable Date
3/8/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Quarterly$0.400.79%5/22/20245/23/20246/13/2024
4/17/2024
Entegris, Inc. stock logo
ENTG
Entegris
Quarterly$0.100.31%4/30/20245/1/20245/22/2024
2/7/2024
Lam Research Co. stock logo
LRCX
Lam Research
quarterly$2.000.93%3/12/20243/13/20244/3/2024
2/7/2024
KLA Co. stock logo
KLAC
KLA
Quarterly$1.450.94%2/15/20242/19/20243/1/2024
(Data available from 1/1/2013 forward)

Debt

CompanyDebt-to-Equity RatioCurrent RatioQuick Ratio
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.31
2.71
1.91
Entegris, Inc. stock logo
ENTG
Entegris
1.35
3.85
2.67
KLA Co. stock logo
KLAC
KLA
1.69
1.91
1.25
Lam Research Co. stock logo
LRCX
Lam Research
0.61
3.06
2.02

Ownership

Institutional Ownership

CompanyInstitutional Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
80.56%
Entegris, Inc. stock logo
ENTG
Entegris
N/A
KLA Co. stock logo
KLAC
KLA
86.65%
Lam Research Co. stock logo
LRCX
Lam Research
84.61%

Insider Ownership

CompanyInsider Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.29%
Entegris, Inc. stock logo
ENTG
Entegris
0.67%
KLA Co. stock logo
KLAC
KLA
0.12%
Lam Research Co. stock logo
LRCX
Lam Research
0.32%

Miscellaneous

CompanyEmployeesShares OutstandingFree FloatOptionable
Applied Materials, Inc. stock logo
AMAT
Applied Materials
34,000830.90 million828.49 millionOptionable
Entegris, Inc. stock logo
ENTG
Entegris
8,000150.73 million149.72 millionOptionable
KLA Co. stock logo
KLAC
KLA
15,210135.23 million135.07 millionOptionable
Lam Research Co. stock logo
LRCX
Lam Research
17,200131.10 million130.68 millionOptionable

KLAC, LRCX, AMAT, and ENTG Headlines

SourceHeadline
Analysts Are Bullish on These Technology Stocks: Lam Research (LRCX), Cadence Design (CDNS)Analysts Are Bullish on These Technology Stocks: Lam Research (LRCX), Cadence Design (CDNS)
markets.businessinsider.com - April 25 at 3:45 AM
Lam Research Corp (LRCX) Q3 2024 Earnings Call Transcript Highlights: Key Financial Metrics and ...Lam Research Corp (LRCX) Q3 2024 Earnings Call Transcript Highlights: Key Financial Metrics and ...
finance.yahoo.com - April 25 at 3:45 AM
LRCX Stock Earnings: Lam Research Beats EPS, Beats Revenue for Q3 2024LRCX Stock Earnings: Lam Research Beats EPS, Beats Revenue for Q3 2024
investorplace.com - April 24 at 11:13 PM
Lam Research (NASDAQ:LRCX) Updates Q4 2024 Earnings GuidanceLam Research (NASDAQ:LRCX) Updates Q4 2024 Earnings Guidance
marketbeat.com - April 24 at 7:16 PM
Lam Research (LRCX) Beats Q3 Earnings and Revenue EstimatesLam Research (LRCX) Beats Q3 Earnings and Revenue Estimates
zacks.com - April 24 at 6:16 PM
Lam Research: Fiscal Q3 Earnings SnapshotLam Research: Fiscal Q3 Earnings Snapshot
sfgate.com - April 24 at 5:44 PM
Lam Research Corporation Announces Climb In Q3 ProfitLam Research Corporation Announces Climb In Q3 Profit
markets.businessinsider.com - April 24 at 5:44 PM
Lam Research (NASDAQ:LRCX) Q1: Beats On RevenueLam Research (NASDAQ:LRCX) Q1: Beats On Revenue
finance.yahoo.com - April 24 at 5:44 PM
Lam Research forecasts quarterly revenue above estimates on AI chip boomLam Research forecasts quarterly revenue above estimates on AI chip boom
finance.yahoo.com - April 24 at 5:44 PM
Lam Research Corp (LRCX) Reports Q3 2024 Earnings: Surpasses Analyst Revenue ForecastsLam Research Corp (LRCX) Reports Q3 2024 Earnings: Surpasses Analyst Revenue Forecasts
finance.yahoo.com - April 24 at 5:44 PM
Lam Research Stock Slips Despite Solid EarningsLam Research Stock Slips Despite Solid Earnings
barrons.com - April 24 at 5:03 PM
Yousif Capital Management LLC Sells 1,823 Shares of Lam Research Co. (NASDAQ:LRCX)Yousif Capital Management LLC Sells 1,823 Shares of Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - April 24 at 4:00 PM
Lam Research Corporation (LRCX)Lam Research Corporation (LRCX)
finance.yahoo.com - April 24 at 12:43 PM
Lam Research Q3 Earnings Preview: Memory rebound to ramp up performanceLam Research Q3 Earnings Preview: Memory rebound to ramp up performance
msn.com - April 23 at 9:24 PM
South Dakota Investment Council Sells 4,040 Shares of Lam Research Co. (NASDAQ:LRCX)South Dakota Investment Council Sells 4,040 Shares of Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - April 23 at 9:18 PM
Will These 4 Semiconductor Stocks Beat Forecasts This Earnings?Will These 4 Semiconductor Stocks Beat Forecasts This Earnings?
zacks.com - April 23 at 10:26 AM
Lam Research (LRCX) To Report Earnings Tomorrow: Here Is What To ExpectLam Research (LRCX) To Report Earnings Tomorrow: Here Is What To Expect
finance.yahoo.com - April 23 at 8:34 AM
New York State Common Retirement Fund Decreases Position in Lam Research Co. (NASDAQ:LRCX)New York State Common Retirement Fund Decreases Position in Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - April 22 at 9:46 PM
Zacks Research Research Analysts Increase Earnings Estimates for Lam Research Co. (NASDAQ:LRCX)Zacks Research Research Analysts Increase Earnings Estimates for Lam Research Co. (NASDAQ:LRCX)
americanbankingnews.com - April 22 at 2:34 AM
Ardevora Asset Management LLP Sells 11,355 Shares of Lam Research Co. (NASDAQ:LRCX)Ardevora Asset Management LLP Sells 11,355 Shares of Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - April 21 at 9:15 PM
Lam Research (NASDAQ:LRCX) Rating Increased to Buy at B. RileyLam Research (NASDAQ:LRCX) Rating Increased to Buy at B. Riley
americanbankingnews.com - April 21 at 2:36 AM
Lam Research (NASDAQ:LRCX) PT Raised to $1,030.00 at Needham & Company LLCLam Research (NASDAQ:LRCX) PT Raised to $1,030.00 at Needham & Company LLC
americanbankingnews.com - April 20 at 8:30 AM
Federated Hermes Inc. Has $42.26 Million Position in Lam Research Co. (NASDAQ:LRCX)Federated Hermes Inc. Has $42.26 Million Position in Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - April 20 at 7:16 AM
Equities Analysts Offer Predictions for Lam Research Co.s Q3 2024 Earnings (NASDAQ:LRCX)Equities Analysts Offer Predictions for Lam Research Co.'s Q3 2024 Earnings (NASDAQ:LRCX)
americanbankingnews.com - April 20 at 2:26 AM

New MarketBeat Followers Over Time

Media Sentiment Over Time

Top Headlines

All Headlines

Company Descriptions

Applied Materials logo

Applied Materials

NASDAQ:AMAT
Applied Materials, Inc. engages in the provision of manufacturing equipment, services, and software to the semiconductor, display, and related industries. The company operates through three segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets. The Semiconductor Systems segment develops, manufactures, and sells various manufacturing equipment that is used to fabricate semiconductor chips or integrated circuits. This segment also offers various technologies, including epitaxy, ion implantation, oxidation/nitridation, rapid thermal processing, physical vapor deposition, chemical vapor deposition, chemical mechanical planarization, electrochemical deposition, atomic layer deposition, etching, and selective deposition and removal, as well as metrology and inspection tools. The Applied Global Services segment provides integrated solutions to optimize equipment and fab performance and productivity comprising spares, upgrades, services, remanufactured earlier generation equipment, and factory automation software for semiconductor, display, and other products. The Display and Adjacent Markets segment offers products for manufacturing liquid crystal displays; organic light-emitting diodes; and other display technologies for TVs, monitors, laptops, personal computers, electronic tablets, smart phones, and other consumer-oriented devices. It operates in the United States, China, Korea, Taiwan, Japan, Southeast Asia, and Europe. The company was incorporated in 1967 and is headquartered in Santa Clara, California.
Entegris logo

Entegris

NASDAQ:ENTG
Entegris, Inc. develops, manufactures, and supplies microcontamination control products, specialty chemicals, and advanced materials handling solutions in North America, Taiwan, China, South Korea, Japan, Europe, and Southeast Asia. It operates in three segments: Materials Solutions (MS); Microcontamination Control (MC); and Advanced Materials Handling (AMH). The MC segment solutions to purify critical liquid chemistries and process gases used in semiconductor manufacturing processes and other high-technology industries; integrated circuit chemical mechanical polishing solutions, high-performance etch and clean chemistries, gases and materials, and safe and materials delivery systems. The MS segment provides materials-based solutions, such as chemical mechanical planarization slurries, pads, deposition materials, process chemistries and gases, formulated cleans, etchants, and other specialty materials. The Advanced Materials Handling segment develops solutions for customers' yields by protecting critical materials during manufacturing, transportation, and storage, which include monitor, protect, transport and deliver critical liquid chemistries, wafers, and other substrates for semiconductor, life sciences and other high-technology industries. The company's customers include logic and memory semiconductor device manufacturers, semiconductor equipment makers, gas and chemical manufacturing companies, and wafer grower companies; and flat panel display equipment makers, panel manufacturers, and manufacturers of hard disk drive components and devices, as well as their related ecosystems. It serves manufacturers and suppliers in the solar and life science industries, electrical discharge machining customers, glass and glass container manufacturers, aerospace manufacturers, and manufacturers of biomedical implantation devices. Entegris, Inc. was founded in 1966 and is headquartered in Billerica, Massachusetts.
KLA logo

KLA

NASDAQ:KLAC
KLA Corporation designs, manufactures, and markets process control, process-enabling, and yield management solutions for the semiconductor and related electronics industries worldwide. It operates through three segments: Semiconductor Process Control; Specialty Semiconductor Process; and PCB, Display and Component Inspection. The company offers inspection and review tools to identify, locate, characterize, review, and analyze defects on various surfaces of patterned and unpatterned wafers; metrology systems that are used to measure pattern dimensions, film thickness, film stress, layer-to-layer alignment, pattern placement, surface topography, and electro-optical properties for wafers; Wafer defect inspection, review, and metrology systems; reticle inspection and metrology systems; chemical process control equipment; wired and wireless sensor wafers and reticles; and semiconductor software solutions that provide run-time process control, defect excursion identification, process corrections, and defect classification to accelerate yield learning rates and reduce production risk. It also provides etch, plasma dicing, deposition, and other wafer processing technologies and solutions for the semiconductor and microelectronics industry. In addition, the company offers direct imaging, inspection, optical shaping, inkjet and additive printing, UV laser drilling and computer-aided manufacturing and engineering solutions for the PCB market; inspection and electrical testing systems to identify and classify defects, as well as systems to repair defects for the display market; and inspection and metrology systems for quality control and yield improvement in advanced and traditional semiconductor packaging markets. The company was formerly known as KLA-Tencor Corporation and changed its name to KLA Corporation in July 2019. KLA Corporation was incorporated in 1975 and is headquartered in Milpitas, California.
Lam Research logo

Lam Research

NASDAQ:LRCX
Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; SOLA ultraviolet thermal processing products for film treatments; and VECTOR plasma-enhanced CVD ALD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications; and Metryx mass metrology systems for high precision in-line mass measurement in semiconductor wafer manufacturing. The company sells its products and services to semiconductors industry in the United States, China, Europe, Japan, Korea, Southeast Asia, Taiwan, and internationally. The company was incorporated in 1980 and is headquartered in Fremont, California.