Compare Stocks

Comparative Price Performance Over Time

Compare Stocks - Price & Volume

CompanyCurrent Price50-Day Moving Average52-Week RangeMarket CapBetaAvg. VolumeToday's Volume
Lennar Co. stock logo
LEN
Lennar
$152.79
-0.9%
$159.53
$102.90
$172.59
$42.53B1.532.10 million shs1.17 million shs
Lam Research Co. stock logo
LRCX
Lam Research
$906.12
+2.4%
$943.93
$493.42
$1,007.39
$118.79B1.511.06 million shs743,246 shs
10 "Recession Proof" Stocks That Will Thrive in Any Market Cover

Which stocks are likely to thrive in today's challenging market? Click the link below and we'll send you MarketBeat's list of ten stocks that will drive in any economic environment.

Get This Free Report

Price Performance

Company1-Day Performance7-Day Performance30-Day Performance90-Day Performance1-Year Performance
Lennar Co. stock logo
LEN
Lennar
-0.99%+1.47%-7.22%+3.37%+38.83%
Lam Research Co. stock logo
LRCX
Lam Research
-0.29%-3.00%-8.91%+2.23%+77.08%

MarketRank™

CompanyOverall ScoreAnalyst's OpinionShort Interest ScoreDividend StrengthESG ScoreNews and Social Media SentimentCompany OwnershipEarnings & Valuation
Lennar Co. stock logo
LEN
Lennar
4.6608 of 5 stars
2.25.02.52.32.13.32.5
Lam Research Co. stock logo
LRCX
Lam Research
4.8013 of 5 stars
2.34.02.52.53.82.53.1

Analyst Ratings

CompanyConsensus Rating ScoreConsensus RatingConsensus Price Target% Upside from Current Price
Lennar Co. stock logo
LEN
Lennar
2.47
Hold$163.887.26% Upside
Lam Research Co. stock logo
LRCX
Lam Research
2.68
Moderate Buy$946.354.44% Upside

Current Analyst Ratings

Latest LEN and LRCX Analyst Ratings

DateCompanyBrokerageActionRatingPrice TargetDetails
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Barclays
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetEqual Weight ➝ Equal Weight$825.00 ➝ $865.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
JPMorgan Chase & Co.
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetOverweight ➝ Overweight$900.00 ➝ $950.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
UBS Group
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$1,130.00 ➝ $1,150.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Cantor Fitzgerald
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingNeutral ➝ Neutral$1,100.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Mizuho
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$935.00 ➝ $960.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Susquehanna
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Lower Price TargetNeutral ➝ Neutral$880.00 ➝ $850.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Needham & Company LLC
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingBuy ➝ Buy$1,030.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
TD Cowen
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$975.00 ➝ $1,000.00
4/19/2024
Lam Research Co. stock logo
LRCX
Lam Research
B. Riley
Subscribe to MarketBeat All Access for the recommendation accuracy rating
UpgradeNeutral ➝ Buy$870.00 ➝ $1,100.00
4/18/2024
Lam Research Co. stock logo
LRCX
Lam Research
Needham & Company LLC
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$900.00 ➝ $1,030.00
4/5/2024
Lennar Co. stock logo
LEN
Lennar
Citigroup
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$179.00 ➝ $198.00
(Data available from 4/25/2021 forward. View 10+ years of historical ratings with our analyst ratings screener.)

Sales & Book Value

CompanyAnnual RevenuePrice/SalesCashflowPrice/CashBook ValuePrice/Book
Lennar Co. stock logo
LEN
Lennar
$34.23B1.24$14.65 per share10.43$96.21 per share1.59
Lam Research Co. stock logo
LRCX
Lam Research
$17.43B6.82$37.88 per share23.92$61.12 per share14.83

Profitability & Earnings

CompanyNet IncomeEPSTrailing P/E RatioForward P/E RatioP/E GrowthNet MarginsReturn on Equity (ROE)Return on Assets (ROA)Next Earnings Date
Lennar Co. stock logo
LEN
Lennar
$3.94B$14.2710.719.401.4511.59%15.90%10.89%6/12/2024 (Estimated)
Lam Research Co. stock logo
LRCX
Lam Research
$4.51B$25.8635.0426.103.2124.15%44.47%19.41%7/24/2024 (Estimated)

Latest LEN and LRCX Earnings

DateQuarterCompanyConsensus EstimateReported EPSBeat/MissGap EPSRevenue EstimateActual RevenueDetails
4/24/2024Q3 2024
Lam Research Co. stock logo
LRCX
Lam Research
$7.26$7.79+$0.53$8.24$3.72 billion$3.79 billion    
3/14/2024Q1 2024
Lennar Co. stock logo
LEN
Lennar
$2.21$2.57+$0.36$2.57$7.39 billion$7.31 billion    

Dividends

CompanyAnnual PayoutDividend Yield3-Year Dividend GrowthPayout RatioYears of Consecutive Growth
Lennar Co. stock logo
LEN
Lennar
$2.001.31%+33.89%14.02%1 Years
Lam Research Co. stock logo
LRCX
Lam Research
$8.000.88%+14.99%30.94%9 Years

Latest LEN and LRCX Dividends

AnnouncementCompanyPeriodAmountYieldEx-Dividend DateRecord DatePayable Date
4/10/2024
Lennar Co. stock logo
LEN
Lennar
quarterly$0.501.2%4/23/20244/24/20245/8/2024
2/7/2024
Lam Research Co. stock logo
LRCX
Lam Research
quarterly$2.000.93%3/12/20243/13/20244/3/2024
(Data available from 1/1/2013 forward)

Debt

CompanyDebt-to-Equity RatioCurrent RatioQuick Ratio
Lennar Co. stock logo
LEN
Lennar
0.11
5.47
1.27
Lam Research Co. stock logo
LRCX
Lam Research
0.61
3.06
2.02

Ownership

Institutional Ownership

CompanyInstitutional Ownership
Lennar Co. stock logo
LEN
Lennar
81.10%
Lam Research Co. stock logo
LRCX
Lam Research
84.61%

Insider Ownership

CompanyInsider Ownership
Lennar Co. stock logo
LEN
Lennar
9.36%
Lam Research Co. stock logo
LRCX
Lam Research
0.32%

Miscellaneous

CompanyEmployeesShares OutstandingFree FloatOptionable
Lennar Co. stock logo
LEN
Lennar
12,284278.34 million252.29 millionOptionable
Lam Research Co. stock logo
LRCX
Lam Research
17,200131.10 million130.68 millionOptionable

LEN and LRCX Headlines

SourceHeadline
Lam Research (NASDAQ:LRCX) Given New $950.00 Price Target at JPMorgan Chase & Co.Lam Research (NASDAQ:LRCX) Given New $950.00 Price Target at JPMorgan Chase & Co.
marketbeat.com - April 25 at 2:02 PM
Lam Research (LRCX) Q3 Earnings Beat, Revenues Decline Y/YLam Research (LRCX) Q3 Earnings Beat, Revenues Decline Y/Y
zacks.com - April 25 at 1:00 PM
Lam Research (NASDAQ:LRCX) Releases  Earnings Results, Beats Estimates By $0.53 EPSLam Research (NASDAQ:LRCX) Releases Earnings Results, Beats Estimates By $0.53 EPS
marketbeat.com - April 25 at 11:05 AM
Lam Research (NASDAQ:LRCX) Shares Gap Up  on Earnings BeatLam Research (NASDAQ:LRCX) Shares Gap Up on Earnings Beat
marketbeat.com - April 25 at 10:49 AM
Lam Researchs (LRCX) Neutral Rating Reaffirmed at Cantor FitzgeraldLam Research's (LRCX) Neutral Rating Reaffirmed at Cantor Fitzgerald
marketbeat.com - April 25 at 10:25 AM
Buy Rating on Lam Research Corp. Backed by Cyclical Recovery and Technology ExpansionBuy Rating on Lam Research Corp. Backed by Cyclical Recovery and Technology Expansion
markets.businessinsider.com - April 25 at 8:45 AM
Lam Research (NASDAQ:LRCX) Given New $850.00 Price Target at SusquehannaLam Research (NASDAQ:LRCX) Given New $850.00 Price Target at Susquehanna
marketbeat.com - April 25 at 8:09 AM
Analysts Are Bullish on These Technology Stocks: Lam Research (LRCX), Cadence Design (CDNS)Analysts Are Bullish on These Technology Stocks: Lam Research (LRCX), Cadence Design (CDNS)
markets.businessinsider.com - April 25 at 3:45 AM
Lam Research Corp (LRCX) Q3 2024 Earnings Call Transcript Highlights: Key Financial Metrics and ...Lam Research Corp (LRCX) Q3 2024 Earnings Call Transcript Highlights: Key Financial Metrics and ...
finance.yahoo.com - April 25 at 3:45 AM
LRCX Stock Earnings: Lam Research Beats EPS, Beats Revenue for Q3 2024LRCX Stock Earnings: Lam Research Beats EPS, Beats Revenue for Q3 2024
investorplace.com - April 24 at 11:13 PM
Lam Research (NASDAQ:LRCX) Updates Q4 2024 Earnings GuidanceLam Research (NASDAQ:LRCX) Updates Q4 2024 Earnings Guidance
marketbeat.com - April 24 at 7:16 PM
Lam Research (LRCX) Beats Q3 Earnings and Revenue EstimatesLam Research (LRCX) Beats Q3 Earnings and Revenue Estimates
zacks.com - April 24 at 6:16 PM
Lam Research: Fiscal Q3 Earnings SnapshotLam Research: Fiscal Q3 Earnings Snapshot
sfgate.com - April 24 at 5:44 PM
Lam Research Corporation Announces Climb In Q3 ProfitLam Research Corporation Announces Climb In Q3 Profit
markets.businessinsider.com - April 24 at 5:44 PM
Lam Research (NASDAQ:LRCX) Q1: Beats On RevenueLam Research (NASDAQ:LRCX) Q1: Beats On Revenue
finance.yahoo.com - April 24 at 5:44 PM
Lam Research forecasts quarterly revenue above estimates on AI chip boomLam Research forecasts quarterly revenue above estimates on AI chip boom
finance.yahoo.com - April 24 at 5:44 PM
Lam Research Corp (LRCX) Reports Q3 2024 Earnings: Surpasses Analyst Revenue ForecastsLam Research Corp (LRCX) Reports Q3 2024 Earnings: Surpasses Analyst Revenue Forecasts
finance.yahoo.com - April 24 at 5:44 PM
Lam Research Stock Slips Despite Solid EarningsLam Research Stock Slips Despite Solid Earnings
barrons.com - April 24 at 5:03 PM
Yousif Capital Management LLC Sells 1,823 Shares of Lam Research Co. (NASDAQ:LRCX)Yousif Capital Management LLC Sells 1,823 Shares of Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - April 24 at 4:00 PM
Lam Research Corporation (LRCX)Lam Research Corporation (LRCX)
finance.yahoo.com - April 24 at 12:43 PM
Lam Research Q3 Earnings Preview: Memory rebound to ramp up performanceLam Research Q3 Earnings Preview: Memory rebound to ramp up performance
msn.com - April 23 at 9:24 PM
South Dakota Investment Council Sells 4,040 Shares of Lam Research Co. (NASDAQ:LRCX)South Dakota Investment Council Sells 4,040 Shares of Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - April 23 at 9:18 PM
Will These 4 Semiconductor Stocks Beat Forecasts This Earnings?Will These 4 Semiconductor Stocks Beat Forecasts This Earnings?
zacks.com - April 23 at 10:26 AM
Lam Research (LRCX) To Report Earnings Tomorrow: Here Is What To ExpectLam Research (LRCX) To Report Earnings Tomorrow: Here Is What To Expect
finance.yahoo.com - April 23 at 8:34 AM

New MarketBeat Followers Over Time

Media Sentiment Over Time

Company Descriptions

Lennar logo

Lennar

NYSE:LEN
Lennar Corporation, together with its subsidiaries, operates as a homebuilder primarily under the Lennar brand in the United States. It operates through Homebuilding East, Homebuilding Central, Homebuilding Texas, Homebuilding West, Financial Services, Multifamily, and Lennar Other segments. The company's homebuilding operations include the construction and sale of single-family attached and detached homes, as well as the purchase, development, and sale of residential land; and development, construction, and management of multifamily rental properties. It also offers residential mortgage financing, title, insurance, and closing services for home buyers and others, as well as originates and sells securitization commercial mortgage loans. In addition, the company is involved in the fund investment activity. It primarily serves first-time, move-up, active adult, and luxury homebuyers. Lennar Corporation was founded in 1954 and is based in Miami, Florida.
Lam Research logo

Lam Research

NASDAQ:LRCX
Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; SOLA ultraviolet thermal processing products for film treatments; and VECTOR plasma-enhanced CVD ALD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications; and Metryx mass metrology systems for high precision in-line mass measurement in semiconductor wafer manufacturing. The company sells its products and services to semiconductors industry in the United States, China, Europe, Japan, Korea, Southeast Asia, Taiwan, and internationally. The company was incorporated in 1980 and is headquartered in Fremont, California.