Free Trial

Compare Stocks

Comparative Price Performance Over Time

Compare Stocks - Price & Volume

CompanyCurrent Price50-Day Moving Average52-Week RangeMarket CapBetaAvg. VolumeToday's Volume
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$214.21
-0.4%
$207.84
$129.21
$225.07
$177.36B1.565.82 million shs3.83 million shs
Entegris, Inc. stock logo
ENTG
Entegris
$126.09
-0.2%
$132.24
$84.13
$146.91
$19.01B1.241.39 million shs959,090 shs
KLA Co. stock logo
KLAC
KLA
$754.15
-0.7%
$710.40
$440.15
$791.96
$101.54B1.28923,756 shs515,140 shs
Lam Research Co. stock logo
LRCX
Lam Research
$935.63
+0.3%
$936.14
$574.42
$1,007.39
$122.32B1.461.02 million shs680,210 shs
The Next 7 Blockbuster Stocks for Growth Investors Cover

Wondering what the next stocks will be that hit it big, with solid fundamentals? Click the link below to learn more about how your portfolio could bloom.

Get This Free Report

Price Performance

Company1-Day Performance7-Day Performance30-Day Performance90-Day Performance1-Year Performance
Applied Materials, Inc. stock logo
AMAT
Applied Materials
-0.40%-3.02%+4.96%+3.29%+59.11%
Entegris, Inc. stock logo
ENTG
Entegris
-0.21%-4.69%-4.33%-7.03%+16.33%
KLA Co. stock logo
KLAC
KLA
-0.71%-3.20%+8.26%+6.84%+64.64%
Lam Research Co. stock logo
LRCX
Lam Research
+0.34%-3.60%+2.98%-2.85%+52.46%

MarketRank™

CompanyOverall ScoreAnalyst's OpinionShort Interest ScoreDividend StrengthESG ScoreNews and Social Media SentimentCompany OwnershipEarnings & Valuation
Applied Materials, Inc. stock logo
AMAT
Applied Materials
4.5937 of 5 stars
2.34.02.52.33.22.52.5
Entegris, Inc. stock logo
ENTG
Entegris
4.508 of 5 stars
2.35.01.71.04.10.83.1
KLA Co. stock logo
KLAC
KLA
4.7851 of 5 stars
2.33.03.32.93.32.53.1
Lam Research Co. stock logo
LRCX
Lam Research
4.6886 of 5 stars
2.45.02.52.51.42.53.1

Analyst Ratings

CompanyConsensus Rating ScoreConsensus RatingConsensus Price Target% Upside from Current Price
Applied Materials, Inc. stock logo
AMAT
Applied Materials
2.67
Moderate Buy$215.640.67% Upside
Entegris, Inc. stock logo
ENTG
Entegris
2.67
Moderate Buy$148.6717.91% Upside
KLA Co. stock logo
KLAC
KLA
2.57
Moderate Buy$727.15-3.58% Downside
Lam Research Co. stock logo
LRCX
Lam Research
2.70
Moderate Buy$957.952.39% Upside

Current Analyst Ratings

Latest AMAT, LRCX, KLAC, and ENTG Analyst Ratings

DateCompanyBrokerageActionRatingPrice TargetDetails
5/17/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Raymond James
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetOutperform ➝ Outperform$225.00 ➝ $235.00
5/17/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
B. Riley
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$250.00 ➝ $255.00
5/17/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
JPMorgan Chase & Co.
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetOverweight ➝ Overweight$230.00 ➝ $240.00
5/17/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Wells Fargo & Company
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetOverweight ➝ Overweight$250.00 ➝ $255.00
5/17/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Cantor Fitzgerald
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingOverweight ➝ Overweight$260.00
5/17/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
TD Cowen
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$245.00 ➝ $250.00
5/17/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Bank of America
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$222.00 ➝ $250.00
5/17/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Needham & Company LLC
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingBuy ➝ Buy$240.00
5/17/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Mizuho
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$225.00 ➝ $245.00
5/16/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Susquehanna
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetNeutral ➝ Neutral$160.00 ➝ $185.00
5/13/2024
Entegris, Inc. stock logo
ENTG
Entegris
Needham & Company LLC
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingBuy ➝ Buy$150.00
(Data available from 6/4/2021 forward. View 10+ years of historical ratings with our analyst ratings screener.)

Sales & Book Value

CompanyAnnual RevenuePrice/SalesCashflowPrice/CashBook ValuePrice/Book
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$26.52B6.69$8.68 per share24.68$19.47 per share11.00
Entegris, Inc. stock logo
ENTG
Entegris
$3.52B5.40$6.13 per share20.57$22.70 per share5.55
KLA Co. stock logo
KLAC
KLA
$10.50B9.67$28.85 per share26.14$21.36 per share35.31
Lam Research Co. stock logo
LRCX
Lam Research
$17.43B7.02$37.22 per share25.14$61.12 per share15.31

Profitability & Earnings

CompanyNet IncomeEPSTrailing P/E RatioForward P/E RatioP/E GrowthNet MarginsReturn on Equity (ROE)Return on Assets (ROA)Next Earnings Date
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$6.86B$8.7024.6222.892.8127.57%41.22%22.18%8/15/2024 (Estimated)
Entegris, Inc. stock logo
ENTG
Entegris
$180.67M$2.0860.6230.75N/A9.31%11.94%4.39%8/1/2024 (Estimated)
KLA Co. stock logo
KLAC
KLA
$3.39B$19.0939.5026.773.6327.19%102.37%21.47%7/25/2024 (Estimated)
Lam Research Co. stock logo
LRCX
Lam Research
$4.51B$27.1934.4126.513.2225.35%45.96%20.08%7/24/2024 (Estimated)

Latest AMAT, LRCX, KLAC, and ENTG Earnings

DateQuarterCompanyConsensus EstimateReported EPSBeat/MissGap EPSRevenue EstimateActual RevenueDetails
5/16/2024Q2 2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.97$2.09+$0.12$2.12$6.54 billion$6.65 billion    
5/1/2024Q1 2024
Entegris, Inc. stock logo
ENTG
Entegris
$0.61$0.68+$0.07$1.06$769.24 million$771.03 million      
4/25/2024Q3 2024
KLA Co. stock logo
KLAC
KLA
$4.94$5.26+$0.32$6.09$2.31 billion$2.36 billion    
4/24/2024Q3 2024
Lam Research Co. stock logo
LRCX
Lam Research
$7.26$7.79+$0.53$8.24$3.72 billion$3.79 billion    

Dividends

CompanyAnnual PayoutDividend Yield3-Year Dividend GrowthPayout RatioYears of Consecutive Growth
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.600.75%+11.93%18.39%7 Years
Entegris, Inc. stock logo
ENTG
Entegris
$0.400.32%+7.72%19.23%N/A
KLA Co. stock logo
KLAC
KLA
$5.800.77%+15.19%30.38%14 Years
Lam Research Co. stock logo
LRCX
Lam Research
$8.000.86%+14.99%29.42%9 Years

Latest AMAT, LRCX, KLAC, and ENTG Dividends

AnnouncementCompanyPeriodAmountYieldEx-Dividend DateRecord DatePayable Date
5/15/2024
Lam Research Co. stock logo
LRCX
Lam Research
quarterly$2.000.85%6/18/20246/18/20247/10/2024
3/8/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Quarterly$0.400.79%5/22/20245/23/20246/13/2024
5/2/2024
KLA Co. stock logo
KLAC
KLA
quarterly$1.450.85%5/14/20245/15/20245/31/2024
4/17/2024
Entegris, Inc. stock logo
ENTG
Entegris
Quarterly$0.100.31%4/30/20245/1/20245/22/2024
(Data available from 1/1/2013 forward)

Debt

CompanyDebt-to-Equity RatioCurrent RatioQuick Ratio
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.30
2.80
1.97
Entegris, Inc. stock logo
ENTG
Entegris
1.22
3.35
2.02
KLA Co. stock logo
KLAC
KLA
1.90
2.14
1.46
Lam Research Co. stock logo
LRCX
Lam Research
0.56
2.82
1.84

Ownership

Institutional Ownership

CompanyInstitutional Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
80.56%
Entegris, Inc. stock logo
ENTG
Entegris
N/A
KLA Co. stock logo
KLAC
KLA
86.65%
Lam Research Co. stock logo
LRCX
Lam Research
84.61%

Insider Ownership

CompanyInsider Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.29%
Entegris, Inc. stock logo
ENTG
Entegris
0.67%
KLA Co. stock logo
KLAC
KLA
0.12%
Lam Research Co. stock logo
LRCX
Lam Research
0.32%

Miscellaneous

CompanyEmployeesShares OutstandingFree FloatOptionable
Applied Materials, Inc. stock logo
AMAT
Applied Materials
34,000827.97 million825.57 millionOptionable
Entegris, Inc. stock logo
ENTG
Entegris
8,000150.79 million149.78 millionOptionable
KLA Co. stock logo
KLAC
KLA
15,210134.64 million134.48 millionOptionable
Lam Research Co. stock logo
LRCX
Lam Research
17,200130.74 million130.32 millionOptionable

AMAT, LRCX, KLAC, and ENTG Headlines

Recent News About These Companies

LRCX May 2024 1010.000 call

New MarketBeat Followers Over Time

Media Sentiment Over Time

Top Headlines

All Headlines

Company Descriptions

Applied Materials logo

Applied Materials

NASDAQ:AMAT
Applied Materials, Inc. engages in the provision of manufacturing equipment, services, and software to the semiconductor, display, and related industries. The company operates through three segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets. The Semiconductor Systems segment develops, manufactures, and sells various manufacturing equipment that is used to fabricate semiconductor chips or integrated circuits. This segment also offers various technologies, including epitaxy, ion implantation, oxidation/nitridation, rapid thermal processing, physical vapor deposition, chemical vapor deposition, chemical mechanical planarization, electrochemical deposition, atomic layer deposition, etching, and selective deposition and removal, as well as metrology and inspection tools. The Applied Global Services segment provides integrated solutions to optimize equipment and fab performance and productivity comprising spares, upgrades, services, remanufactured earlier generation equipment, and factory automation software for semiconductor, display, and other products. The Display and Adjacent Markets segment offers products for manufacturing liquid crystal displays; organic light-emitting diodes; and other display technologies for TVs, monitors, laptops, personal computers, electronic tablets, smart phones, and other consumer-oriented devices. It operates in the United States, China, Korea, Taiwan, Japan, Southeast Asia, and Europe. The company was incorporated in 1967 and is headquartered in Santa Clara, California.
Entegris logo

Entegris

NASDAQ:ENTG
Entegris, Inc. develops, manufactures, and supplies microcontamination control products, specialty chemicals, and advanced materials handling solutions in North America, Taiwan, China, South Korea, Japan, Europe, and Southeast Asia. It operates in three segments: Materials Solutions (MS); Microcontamination Control (MC); and Advanced Materials Handling (AMH). The MC segment solutions to purify critical liquid chemistries and process gases used in semiconductor manufacturing processes and other high-technology industries; integrated circuit chemical mechanical polishing solutions, high-performance etch and clean chemistries, gases and materials, and safe and materials delivery systems. The MS segment provides materials-based solutions, such as chemical mechanical planarization slurries, pads, deposition materials, process chemistries and gases, formulated cleans, etchants, and other specialty materials. The Advanced Materials Handling segment develops solutions for customers' yields by protecting critical materials during manufacturing, transportation, and storage, which include monitor, protect, transport and deliver critical liquid chemistries, wafers, and other substrates for semiconductor, life sciences and other high-technology industries. The company's customers include logic and memory semiconductor device manufacturers, semiconductor equipment makers, gas and chemical manufacturing companies, and wafer grower companies; and flat panel display equipment makers, panel manufacturers, and manufacturers of hard disk drive components and devices, as well as their related ecosystems. It serves manufacturers and suppliers in the solar and life science industries, electrical discharge machining customers, glass and glass container manufacturers, aerospace manufacturers, and manufacturers of biomedical implantation devices. Entegris, Inc. was founded in 1966 and is headquartered in Billerica, Massachusetts.
KLA logo

KLA

NASDAQ:KLAC
KLA Corporation designs, manufactures, and markets process control, process-enabling, and yield management solutions for the semiconductor and related electronics industries worldwide. It operates through three segments: Semiconductor Process Control; Specialty Semiconductor Process; and PCB, Display and Component Inspection. The company offers inspection and review tools to identify, locate, characterize, review, and analyze defects on various surfaces of patterned and unpatterned wafers; metrology systems that are used to measure pattern dimensions, film thickness, film stress, layer-to-layer alignment, pattern placement, surface topography, and electro-optical properties for wafers; Wafer defect inspection, review, and metrology systems; reticle inspection and metrology systems; chemical process control equipment; wired and wireless sensor wafers and reticles; and semiconductor software solutions that provide run-time process control, defect excursion identification, process corrections, and defect classification to accelerate yield learning rates and reduce production risk. It also provides etch, plasma dicing, deposition, and other wafer processing technologies and solutions for the semiconductor and microelectronics industry. In addition, the company offers direct imaging, inspection, optical shaping, inkjet and additive printing, UV laser drilling and computer-aided manufacturing and engineering solutions for the PCB market; inspection and electrical testing systems to identify and classify defects, as well as systems to repair defects for the display market; and inspection and metrology systems for quality control and yield improvement in advanced and traditional semiconductor packaging markets. The company was formerly known as KLA-Tencor Corporation and changed its name to KLA Corporation in July 2019. KLA Corporation was incorporated in 1975 and is headquartered in Milpitas, California.
Lam Research logo

Lam Research

NASDAQ:LRCX
Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; SOLA ultraviolet thermal processing products for film treatments; and VECTOR plasma-enhanced CVD ALD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications; and Metryx mass metrology systems for high precision in-line mass measurement in semiconductor wafer manufacturing. The company sells its products and services to semiconductors industry in the United States, China, Europe, Japan, Korea, Southeast Asia, Taiwan, and internationally. The company was incorporated in 1980 and is headquartered in Fremont, California.