Compare Stocks

Comparative Price Performance Over Time

Compare Stocks - Price & Volume

CompanyCurrent Price50-Day Moving Average52-Week RangeMarket CapBetaAvg. VolumeToday's Volume
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$209.73
+1.6%
$204.38
$113.91
$214.91
$174.26B1.585.84 million shs3.50 million shs
Entegris, Inc. stock logo
ENTG
Entegris
$131.55
+1.7%
$135.05
$84.13
$146.91
$20.19B1.311.43 million shs1.45 million shs
KLA Co. stock logo
KLAC
KLA
$718.27
+1.1%
$690.91
$381.82
$729.15
$96.72B1.33909,120 shs448,735 shs
Lam Research Co. stock logo
LRCX
Lam Research
$915.42
+0.9%
$939.91
$520.66
$1,007.39
$120.88B1.511.12 million shs509,928 shs
7 Stocks That Could Be Bigger Than Tesla, Nvidia, and Google Cover

Growth stocks offer a lot of bang for your buck, and we've got the next upcoming superstars to strongly consider for your portfolio.

Get This Free Report

Price Performance

Company1-Day Performance7-Day Performance30-Day Performance90-Day Performance1-Year Performance
Applied Materials, Inc. stock logo
AMAT
Applied Materials
-0.50%+4.25%-1.94%+11.03%+78.78%
Entegris, Inc. stock logo
ENTG
Entegris
-0.48%+2.20%-7.01%+1.93%+65.64%
KLA Co. stock logo
KLAC
KLA
-0.90%+4.20%+1.99%+9.37%+83.93%
Lam Research Co. stock logo
LRCX
Lam Research
-0.63%+2.70%-6.76%-0.44%+72.11%

MarketRank™

CompanyOverall ScoreAnalyst's OpinionShort Interest ScoreDividend StrengthESG ScoreNews and Social Media SentimentCompany OwnershipEarnings & Valuation
Applied Materials, Inc. stock logo
AMAT
Applied Materials
4.6081 of 5 stars
2.34.02.52.32.41.73.1
Entegris, Inc. stock logo
ENTG
Entegris
4.1194 of 5 stars
2.33.01.71.03.10.83.1
KLA Co. stock logo
KLAC
KLA
4.8314 of 5 stars
2.33.03.32.93.82.53.1
Lam Research Co. stock logo
LRCX
Lam Research
4.7921 of 5 stars
2.34.02.52.53.32.53.1

Analyst Ratings

CompanyConsensus Rating ScoreConsensus RatingConsensus Price Target% Upside from Current Price
Applied Materials, Inc. stock logo
AMAT
Applied Materials
2.69
Moderate Buy$201.50-3.92% Downside
Entegris, Inc. stock logo
ENTG
Entegris
2.67
Moderate Buy$141.917.87% Upside
KLA Co. stock logo
KLAC
KLA
2.55
Moderate Buy$722.260.56% Upside
Lam Research Co. stock logo
LRCX
Lam Research
2.68
Moderate Buy$950.853.87% Upside

Current Analyst Ratings

Latest AMAT, LRCX, KLAC, and ENTG Analyst Ratings

DateCompanyBrokerageActionRatingPrice TargetDetails
5/2/2024
Entegris, Inc. stock logo
ENTG
Entegris
Mizuho
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetNeutral ➝ Neutral$140.00 ➝ $143.00
5/1/2024
Entegris, Inc. stock logo
ENTG
Entegris
Needham & Company LLC
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingBuy ➝ Buy$150.00
4/29/2024
KLA Co. stock logo
KLAC
KLA
Citigroup
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$725.00 ➝ $760.00
4/26/2024
KLA Co. stock logo
KLAC
KLA
Morgan Stanley
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetEqual Weight ➝ Equal Weight$692.00 ➝ $698.00
4/26/2024
KLA Co. stock logo
KLAC
KLA
Raymond James
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingOutperform ➝ Outperform$625.00 ➝ $750.00
4/26/2024
KLA Co. stock logo
KLAC
KLA
Barclays
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetUnderweight ➝ Underweight$550.00 ➝ $630.00
4/26/2024
KLA Co. stock logo
KLAC
KLA
Needham & Company LLC
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingHold
4/26/2024
KLA Co. stock logo
KLAC
KLA
TD Cowen
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetHold ➝ Hold$650.00 ➝ $700.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Citigroup
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$975.00 ➝ $1,025.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Barclays
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetEqual Weight ➝ Equal Weight$825.00 ➝ $865.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
JPMorgan Chase & Co.
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetOverweight ➝ Overweight$900.00 ➝ $950.00
(Data available from 5/10/2021 forward. View 10+ years of historical ratings with our analyst ratings screener.)

Sales & Book Value

CompanyAnnual RevenuePrice/SalesCashflowPrice/CashBook ValuePrice/Book
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$26.49B6.58$8.96 per share23.42$19.47 per share10.77
Entegris, Inc. stock logo
ENTG
Entegris
$3.52B5.63$6.03 per share21.80$22.75 per share5.78
KLA Co. stock logo
KLAC
KLA
$10.50B9.21$29.06 per share24.72$22.99 per share31.24
Lam Research Co. stock logo
LRCX
Lam Research
$17.43B6.87$36.73 per share24.92$61.36 per share14.92

Profitability & Earnings

CompanyNet IncomeEPSTrailing P/E RatioForward P/E RatioP/E GrowthNet MarginsReturn on Equity (ROE)Return on Assets (ROA)Next Earnings Date
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$6.86B$8.5024.6722.603.0527.03%43.56%22.53%5/16/2024 (Confirmed)
Entegris, Inc. stock logo
ENTG
Entegris
$180.67M$2.0863.2531.85N/A9.31%11.94%4.39%8/1/2024 (Estimated)
KLA Co. stock logo
KLAC
KLA
$3.39B$19.0937.6325.523.0727.19%102.37%21.47%7/25/2024 (Estimated)
Lam Research Co. stock logo
LRCX
Lam Research
$4.51B$27.1933.6726.152.8725.35%45.96%20.08%7/24/2024 (Estimated)

Latest AMAT, LRCX, KLAC, and ENTG Earnings

DateQuarterCompanyConsensus EstimateReported EPSBeat/MissGap EPSRevenue EstimateActual RevenueDetails
5/16/2024N/A
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.96N/A-$1.96N/AN/AN/A  
5/1/2024Q1 2024
Entegris, Inc. stock logo
ENTG
Entegris
$0.61$0.68+$0.07$1.06$769.24 million$771.03 million      
4/25/2024Q3 2024
KLA Co. stock logo
KLAC
KLA
$4.94$5.26+$0.32$6.09$2.31 billion$2.36 billion    
4/24/2024Q3 2024
Lam Research Co. stock logo
LRCX
Lam Research
$7.26$7.79+$0.53$8.24$3.72 billion$3.79 billion    
2/15/2024Q1 24
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.90$2.13+$0.23$1.85$6.48 billion$6.71 billion    
2/13/2024Q4 2023
Entegris, Inc. stock logo
ENTG
Entegris
$0.59$0.65+$0.06$1.05$780.95 million$812.30 million      

Dividends

CompanyAnnual PayoutDividend Yield3-Year Dividend GrowthPayout RatioYears of Consecutive Growth
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.600.76%+11.93%18.82%7 Years
Entegris, Inc. stock logo
ENTG
Entegris
$0.400.30%+7.72%19.23%N/A
KLA Co. stock logo
KLAC
KLA
$5.800.81%+15.19%30.38%14 Years
Lam Research Co. stock logo
LRCX
Lam Research
$8.000.87%+14.99%29.42%9 Years

Latest AMAT, LRCX, KLAC, and ENTG Dividends

AnnouncementCompanyPeriodAmountYieldEx-Dividend DateRecord DatePayable Date
3/8/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Quarterly$0.400.79%5/22/20245/23/20246/13/2024
5/2/2024
KLA Co. stock logo
KLAC
KLA
quarterly$1.450.85%5/14/20245/15/20245/31/2024
4/17/2024
Entegris, Inc. stock logo
ENTG
Entegris
Quarterly$0.100.31%4/30/20245/1/20245/22/2024
(Data available from 1/1/2013 forward)

Debt

CompanyDebt-to-Equity RatioCurrent RatioQuick Ratio
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.31
2.71
1.91
Entegris, Inc. stock logo
ENTG
Entegris
1.22
3.35
2.02
KLA Co. stock logo
KLAC
KLA
1.90
2.14
1.46
Lam Research Co. stock logo
LRCX
Lam Research
0.56
2.82
1.84

Ownership

Institutional Ownership

CompanyInstitutional Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
80.56%
Entegris, Inc. stock logo
ENTG
Entegris
N/A
KLA Co. stock logo
KLAC
KLA
86.65%
Lam Research Co. stock logo
LRCX
Lam Research
84.61%

Insider Ownership

CompanyInsider Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.29%
Entegris, Inc. stock logo
ENTG
Entegris
0.67%
KLA Co. stock logo
KLAC
KLA
0.12%
Lam Research Co. stock logo
LRCX
Lam Research
0.32%

Miscellaneous

CompanyEmployeesShares OutstandingFree FloatOptionable
Applied Materials, Inc. stock logo
AMAT
Applied Materials
34,000830.90 million828.49 millionOptionable
Entegris, Inc. stock logo
ENTG
Entegris
8,000150.79 million149.78 millionOptionable
KLA Co. stock logo
KLAC
KLA
15,210134.64 million134.48 millionOptionable
Lam Research Co. stock logo
LRCX
Lam Research
17,200130.74 million130.32 millionOptionable

AMAT, LRCX, KLAC, and ENTG Headlines

SourceHeadline
Lam Research Expands Chip Equipment Supply Chain in IndiaLam Research Expands Chip Equipment Supply Chain in India
siliconindia.com - May 10 at 1:13 PM
Lam Research Corporation (LRCX) Is a Trending Stock: Facts to Know Before Betting on ItLam Research Corporation (LRCX) Is a Trending Stock: Facts to Know Before Betting on It
zacks.com - May 10 at 10:01 AM
Lam Research Co. (NASDAQ:LRCX) Shares Sold by LSV Asset ManagementLam Research Co. (NASDAQ:LRCX) Shares Sold by LSV Asset Management
marketbeat.com - May 10 at 7:01 AM
Lam Research to explore India-based suppliers in chipmaking tool ecosystemLam Research to explore India-based suppliers in chipmaking tool ecosystem
digitimes.com - May 10 at 3:13 AM
US-Based Lam Research To Expand Supply Chain In IndiaUS-Based Lam Research To Expand Supply Chain In India
indiawest.com - May 9 at 10:13 PM
National Pension Service Buys 6,151 Shares of Lam Research Co. (NASDAQ:LRCX)National Pension Service Buys 6,151 Shares of Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - May 9 at 1:05 PM
Lam Research Corp. to expand semiconductor fabrication equipment supply chain in IndiaLam Research Corp. to expand semiconductor fabrication equipment supply chain in India
thehindubusinessline.com - May 9 at 3:13 AM
Picton Mahoney Asset Management Buys New Shares in Lam Research Co. (NASDAQ:LRCX)Picton Mahoney Asset Management Buys New Shares in Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - May 8 at 10:37 PM
Lam Research plans semiconductor fabrication supply chain in IndiaLam Research plans semiconductor fabrication supply chain in India
business-standard.com - May 8 at 5:12 PM
Charles Schwab Investment Management Inc. Has $609.16 Million Stock Position in Lam Research Co. (NASDAQ:LRCX)Charles Schwab Investment Management Inc. Has $609.16 Million Stock Position in Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - May 7 at 9:41 PM
Lam Research Corporation Announces Participation at Upcoming ConferenceLam Research Corporation Announces Participation at Upcoming Conference
prnewswire.com - May 7 at 4:05 PM
Lam Research Co. (NASDAQ:LRCX) Insider George M. Schisler Sells 400 Shares of StockLam Research Co. (NASDAQ:LRCX) Insider George M. Schisler Sells 400 Shares of Stock
americanbankingnews.com - May 7 at 4:36 AM
Lam Research Co. (NASDAQ:LRCX) Insider Sells $363,284.00 in StockLam Research Co. (NASDAQ:LRCX) Insider Sells $363,284.00 in Stock
insidertrades.com - May 7 at 4:33 AM
1 Chip Stock Secretly Playing A Massive Role In AI Development1 Chip Stock Secretly Playing A Massive Role In AI Development
fool.com - May 6 at 3:30 PM
George M. Schisler Sells 400 Shares of Lam Research Co. (NASDAQ:LRCX) StockGeorge M. Schisler Sells 400 Shares of Lam Research Co. (NASDAQ:LRCX) Stock
marketbeat.com - May 6 at 2:29 PM
Merit Financial Group LLC Has $1 Million Stock Position in Lam Research Co. (NASDAQ:LRCX)Merit Financial Group LLC Has $1 Million Stock Position in Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - May 6 at 8:23 AM
Signature Resources Capital Management LLC Makes New Investment in Lam Research Co. (NASDAQ:LRCX)Signature Resources Capital Management LLC Makes New Investment in Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - May 5 at 12:17 PM
1 Hot Nasdaq Stock to Buy Hand Over Fist Before It Soars at Least 25% Thanks to the Artificial Intelligence (AI) Boom1 Hot Nasdaq Stock to Buy Hand Over Fist Before It Soars at Least 25% Thanks to the Artificial Intelligence (AI) Boom
fool.com - May 5 at 3:50 AM
Gradient Investments LLC Has $18.42 Million Position in Lam Research Co. (NASDAQ:LRCX)Gradient Investments LLC Has $18.42 Million Position in Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - May 5 at 12:21 AM
Citi Just Raised Its Price Target on These 3 StocksCiti Just Raised Its Price Target on These 3 Stocks
investorplace.com - May 4 at 6:15 AM
Lam Research (NASDAQ:LRCX) Downgraded by StockNews.comLam Research (NASDAQ:LRCX) Downgraded by StockNews.com
americanbankingnews.com - May 4 at 2:38 AM
Natixis Advisors L.P. Buys 1,768 Shares of Lam Research Co. (NASDAQ:LRCX)Natixis Advisors L.P. Buys 1,768 Shares of Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - May 3 at 9:53 PM
Raymond James Financial Services Advisors Inc. Raises Stake in Lam Research Co. (NASDAQ:LRCX)Raymond James Financial Services Advisors Inc. Raises Stake in Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - May 2 at 8:37 PM
Raymond James & Associates Purchases 7,594 Shares of Lam Research Co. (NASDAQ:LRCX)Raymond James & Associates Purchases 7,594 Shares of Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - May 1 at 9:36 PM

New MarketBeat Followers Over Time

Media Sentiment Over Time

Top Headlines

All Headlines

Company Descriptions

Applied Materials logo

Applied Materials

NASDAQ:AMAT
Applied Materials, Inc. engages in the provision of manufacturing equipment, services, and software to the semiconductor, display, and related industries. The company operates through three segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets. The Semiconductor Systems segment develops, manufactures, and sells various manufacturing equipment that is used to fabricate semiconductor chips or integrated circuits. This segment also offers various technologies, including epitaxy, ion implantation, oxidation/nitridation, rapid thermal processing, physical vapor deposition, chemical vapor deposition, chemical mechanical planarization, electrochemical deposition, atomic layer deposition, etching, and selective deposition and removal, as well as metrology and inspection tools. The Applied Global Services segment provides integrated solutions to optimize equipment and fab performance and productivity comprising spares, upgrades, services, remanufactured earlier generation equipment, and factory automation software for semiconductor, display, and other products. The Display and Adjacent Markets segment offers products for manufacturing liquid crystal displays; organic light-emitting diodes; and other display technologies for TVs, monitors, laptops, personal computers, electronic tablets, smart phones, and other consumer-oriented devices. It operates in the United States, China, Korea, Taiwan, Japan, Southeast Asia, and Europe. The company was incorporated in 1967 and is headquartered in Santa Clara, California.
Entegris logo

Entegris

NASDAQ:ENTG
Entegris, Inc. develops, manufactures, and supplies microcontamination control products, specialty chemicals, and advanced materials handling solutions in North America, Taiwan, China, South Korea, Japan, Europe, and Southeast Asia. It operates in three segments: Materials Solutions (MS); Microcontamination Control (MC); and Advanced Materials Handling (AMH). The MC segment solutions to purify critical liquid chemistries and process gases used in semiconductor manufacturing processes and other high-technology industries; integrated circuit chemical mechanical polishing solutions, high-performance etch and clean chemistries, gases and materials, and safe and materials delivery systems. The MS segment provides materials-based solutions, such as chemical mechanical planarization slurries, pads, deposition materials, process chemistries and gases, formulated cleans, etchants, and other specialty materials. The Advanced Materials Handling segment develops solutions for customers' yields by protecting critical materials during manufacturing, transportation, and storage, which include monitor, protect, transport and deliver critical liquid chemistries, wafers, and other substrates for semiconductor, life sciences and other high-technology industries. The company's customers include logic and memory semiconductor device manufacturers, semiconductor equipment makers, gas and chemical manufacturing companies, and wafer grower companies; and flat panel display equipment makers, panel manufacturers, and manufacturers of hard disk drive components and devices, as well as their related ecosystems. It serves manufacturers and suppliers in the solar and life science industries, electrical discharge machining customers, glass and glass container manufacturers, aerospace manufacturers, and manufacturers of biomedical implantation devices. Entegris, Inc. was founded in 1966 and is headquartered in Billerica, Massachusetts.
KLA logo

KLA

NASDAQ:KLAC
KLA Corporation designs, manufactures, and markets process control, process-enabling, and yield management solutions for the semiconductor and related electronics industries worldwide. It operates through three segments: Semiconductor Process Control; Specialty Semiconductor Process; and PCB, Display and Component Inspection. The company offers inspection and review tools to identify, locate, characterize, review, and analyze defects on various surfaces of patterned and unpatterned wafers; metrology systems that are used to measure pattern dimensions, film thickness, film stress, layer-to-layer alignment, pattern placement, surface topography, and electro-optical properties for wafers; Wafer defect inspection, review, and metrology systems; reticle inspection and metrology systems; chemical process control equipment; wired and wireless sensor wafers and reticles; and semiconductor software solutions that provide run-time process control, defect excursion identification, process corrections, and defect classification to accelerate yield learning rates and reduce production risk. It also provides etch, plasma dicing, deposition, and other wafer processing technologies and solutions for the semiconductor and microelectronics industry. In addition, the company offers direct imaging, inspection, optical shaping, inkjet and additive printing, UV laser drilling and computer-aided manufacturing and engineering solutions for the PCB market; inspection and electrical testing systems to identify and classify defects, as well as systems to repair defects for the display market; and inspection and metrology systems for quality control and yield improvement in advanced and traditional semiconductor packaging markets. The company was formerly known as KLA-Tencor Corporation and changed its name to KLA Corporation in July 2019. KLA Corporation was incorporated in 1975 and is headquartered in Milpitas, California.
Lam Research logo

Lam Research

NASDAQ:LRCX
Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; SOLA ultraviolet thermal processing products for film treatments; and VECTOR plasma-enhanced CVD ALD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications; and Metryx mass metrology systems for high precision in-line mass measurement in semiconductor wafer manufacturing. The company sells its products and services to semiconductors industry in the United States, China, Europe, Japan, Korea, Southeast Asia, Taiwan, and internationally. The company was incorporated in 1980 and is headquartered in Fremont, California.