Lam Research Q1 2024 Earnings Call Transcript


Listen to Conference Call

Participants

Corporate Executives

  • Ram Ganesh
    Head of Investor Relations
  • Tim Archer
    President and Chief Executive Officer
  • Doug Bettinger
    Executive Vice President, Chief Financial Officer

Analysts

Presentation

Operator

Good day, everyone, and welcome to the Lam Research March 2024 Earnings Conference Call. [Operator Instructions]

At this time, I'd like to turn the floor over to Ram Ganesh, Head of Investor Relations. Sir, please go ahead.

Ram Ganesh
Head of Investor Relations at Lam Research

Thank you and good afternoon, everyone. Welcome to the Lam Research quarterly earnings conference call. With me today are Tim Archer, President and Chief Executive Officer; and Doug Bettinger, Executive Vice President and Chief Financial Officer. During today's call, we will share our overview on the business environment, and we'll review our financial results for the March 2024 quarter and our outlook for the June 2024 quarter. The press release detailing our financial results was distributed a little after 1:00 p.m. Pacific time. The release can also be found on the Investor Relations section of the company website, along with the presentation slides that accompany today's call.

Today's presentation and Q&A include forward-looking statements that are subject to risks and uncertainties reflected in the risk factors disclosed in our SEC public filings. Please see accompanying the slides in the presentation for additional information. Today's discussion of our financial results will be presented on a non-GAAP financial basis, unless otherwise specified. A detailed reconciliation between GAAP and non-GAAP results can be found in the accompanying slides in the presentation. This call is scheduled to last until 03:00 p.m. Pacific time. A replay of this call will be made available later this afternoon.

We're having some technical difficulties posting our earnings call slides externally. We will try to post it as the call is going on. If not, we will post it on our website after this call.

And with that, I'll hand the call over to Tim.

Tim Archer
President and Chief Executive Officer at Lam Research

Thanks, Ram, and thank you to everyone joining us today. Lam is off to a strong start in calendar 2024, with revenues, profitability, and earnings per share for the March quarter, all exceeding the midpoint of our guidance. These results, as well as our outlook for the June quarter, point to Lam's solid execution in an industry environment that is progressing much as we predicted in our January call. Today we see industry WFE spending for calendar 2024 in the low-to-mid $90 billion range, with the modest increase from our prior view driven mainly by additional lithography shipments into China. We see no meaningful change to our outlook for Lam's overall 2024 revenue profile.

From an industry perspective, DRAM remains strong, with WFE spending driven by growing demand for high bandwidth memory and sustained investment in domestic China. In foundry/logic, growth in leading-edge spending this year is being partially offset by a decline in mature node spending outside of domestic China. Domestic China spending is running higher than we had previously expected. However, we still see it being first-half weighted, with Lam's revenue contribution from China declining as the year progresses. In NAND, we continue to expect year-on-year growth in WFE spending in calendar 2024. Encouragingly, we've seen an uptick in fab utilization and in the March quarter this translated into double-digit percent growth quarter-over-quarter in our spares revenues. As supply and demand continues to normalize through the remainder of the year, we see a strong setup developing for 2025 NAND spending.

As we move toward a broader WFE recovery, Lam stands to benefit from powerful secular drivers of semiconductor growth and innovation. Generative AI and other emerging smart applications are built on a foundation of semiconductor technology and are expected to deliver trillions of dollars of economic benefit at a global level over the next decade. AI's transformative use cases, foreseen in both consumer and enterprise markets, are only in the early stages of realization, and we believe that significant investment in semiconductor manufacturing capacity will be required to satisfy the coming demand for advanced compute, memory, and storage.

In this environment, the winners will be the equipment companies that can accelerate the pace of technology advancement while at the same time deliver innovations that disrupt the rising cost and complexity of semiconductor fabrication. To this end, Lam is investing in two differentiated approaches: first, we are putting more capabilities and resources close to our customers to strengthen collaboration; and second, we are leveraging Lam's proprietary Semiverse Solutions' digital twin capabilities to reduce the time and cost of technology development. Already, we are seeing Lam's distributed R&D footprint having a positive effect. In the past quarter, we've used our customer-centric lab investments in Korea, Taiwan, and the U.S. to accelerate cycles of learning on new applications, resulting in important wins for Lam in both DRAM and foundry/logic advanced packaging. With respect to Semiverse Solutions, we leverage a portfolio of digital twins created at the scale of the device, the process, and the reactor to model complex interactions that influence tool performance and productivity. Lam's engineers now regularly use these capabilities to optimize multidimensional etch and deposition process recipes faster and with less on-tool wafer experimentation.

Turning to demand related to AI. The early impact has been most prominent in DRAM and foundry/logic. We believe, however, that AI's impact on storage is still ahead and represents a key vector of long-term growth for our NAND business. More advanced AI applications need faster, more power-efficient, and higher-density NAND storage. NAND-based enterprise solid-state drives, or ESSDs, are 50 times faster in read/write capability, 2 to 5 times more power efficient, and use 50% less space at the system level compared to hard disk drives or HDDs. Today, over 80% of enterprise data is stored on HDDs, and we expect this mix to shift in favor of SSDs as NAND capability and cost continues to improve. This is where Lam is playing a key role by enabling technologies which are critical for both performance and cost scaling.

In deposition, for example, Lam is leading the transition from tungsten to molybdenum in the wordline to improve device access time and reduce stack height per storage cell. In etch, Lam is using high aspect ratio cryogenic etch to enhance productivity of memory hole formation. Today, we are approaching 1,000 cryo etch chambers in our high-volume manufacturing installed base. In partnership with our customers, we're using the tremendous amount of data coming from this installed base to rapidly improve technology and cost at each successive layer transition in NAND. Recently, we combined the learning from the installed base with the capabilities of our Semiverse Solutions' simulation tools to further strengthen our differentiation. As a result of our accelerated innovation, we have defended every NAND high-aspect ratio memory hole etch production decision made so far by customers.

With respect to DRAM, AI servers use high bandwidth memory, or HBM, to increase read/write speed and reduce server power consumption. HBM stacks multiple DRAM dies using TSVs, enabling 15 times more data throughput than standard DRAM. However, HBM also requires an approximately 3-fold increase in wafers per bit compared to conventional memory. With this in mind, it's important that our SABRE 3D and Syndion tools not only provide best-in-class plating and etch capabilities, but also deliver industry-leading throughput and productivity to keep overall costs low for our customers. We are the leading player in TSV applications for HBM and expect our HBM-related shipments to grow more than 3 times in calendar year 2024.

Finally, on the foundry/logic side, Lam tools, including selective etch and ALD, are well positioned to help enable the move from FinFET to Gate All Around, a key transition needed to improve transistor performance per watt by 15% to 20%. We see our shipments for Gate All Around nodes in calendar year 2024 exceeding $1 billion. Lam tools are also enabling foundry/logic inflections such as backside power delivery, molybdenum interconnects, and dry photoresist processes for EUV patterning. Our traction with customers is strong on these inflections, and together they represent a multibillion-dollar growth opportunity for Lam as AI drives a greater need for faster, more power-efficient devices.

To conclude, the proliferation of AI, the global push for localized chip manufacturing capacity, and the ubiquity of semiconductors in new consumer and commercial products represent powerful secular drivers for Lam and the rest of the semiconductor equipment industry in the years ahead. We are pleased with the company's execution and our results in the March quarter and remain focused on our opportunity to outperform through this next leg of industry growth.

Thank you and I'll now turn it over to Doug.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Great. Thanks, Tim. Good afternoon, everyone, and thank you all for joining our call today during what I know is a busy earnings season. We delivered solid results in the March 2024 quarter. Our March quarter results came in over the midpoint of our guidance ranges for all financial metrics. I'm pleased with the company's continued robust execution. We achieved the highest gross margin percentage since the merging of Lam with Novellus. We also continue to generate very strong free cash flow of $1.3 billion, or 34% of revenue.

Let's dive into the details of our March quarter results. Revenue for the March quarter was $3.79 billion, which was roughly flat with the prior quarter. Our deferred revenue balance at the end of the quarter was $1.75 billion, which was a decrease of $182 million from the December quarter related to revenue recognized that was tied to those customer advanced payments. I believe deferred revenue will continue to trend downwards as we continue throughout the year. From a segment perspective, March quarter's systems revenue in memory was 44%, which is a decrease from the prior quarter level of 48%. The decline in the memory segment was attributable to DRAM coming in at 23% of systems revenue versus the 31% that we saw in the December quarter. DRAM spending was focused on the 1y, 1 alpha, and 1 beta nodes, spending largely driven by DDR5 and high bandwidth memory enablement.

As we noted in the last quarter, non-volatile memory WFE is increasing in 2024, but it remained at a subdued level on a mix basis for the March quarter. This segment represented 21% of our systems revenue, up from 17% in the prior quarter. I do just want to mention one thing. We are characterizing one customer's investment in specialty DRAM as a non-volatile investment since it has a non-volatile component to the device. This might be different than what others in the industry are doing. NAND investment was driven by very modest spending on conversions to 2xx and 3xx layer devices. The foundry segment represented 44% of our systems revenue, a slight increase from the percentage concentration in the December quarter of 38%. Growth was driven predominantly by domestic China shipments. And finally, the logic and other segment were 12% of our systems revenue in the March quarter, down from the prior quarter level of 14%. The decline was driven by continued mature node softness.

Now I'll discuss the regional composition of our total revenue. The China region came in at 42%, up slightly from 40% in the prior quarter, while most of our China revenue continued to be from domestic Chinese customers, this was the largest quarter for multinational spending in China since mid-last year. We expect spending from this region to increase year over year in 2024. I believe it will however decline as we go through the year. Our next largest geographic concentration was Korea at 24% of revenue in the March quarter versus 19% in the December quarter. Japan and Taiwan rounded out the remainder of the top four regions.

Our Customer Support Business Group generated revenue in the March quarter totaling approximately $1.4 billion. This was down 4% from the December quarter and 13% lower than the March quarter in calendar year 2023. Our Reliant systems revenue decreased in the March quarter due to continued weakness in mature node investments, partially offset by a higher level of spares. Reliant is at the lowest revenue level in the last two years and spares is at the highest revenue level since the end of 2022. The spares business is seeing very early signs of positive impact from utilization increases from our customers.

Turning to the gross margin performance. The March quarter came in at 48.7%, above the midpoint of our guided range and above the December quarter level of 47.6%. The increase was primarily a result of favorable changes in product and customer mix as well as improved factory efficiencies. March quarter operating expenses were $698 million, up from the prior quarter amount of $662 million. This was due in part to expenses incurred for an extra week in the quarter -- I'll remind you it was a 14-week quarter -- as well as our conscious growth in R&D spending. As Tim mentioned, we remain laser focused on investing in R&D to extend our product and competitive differentiation. R&D as a percentage of spending was at a high-water mark, coming in at 71% of total spending. Operating margin for the current quarter was 30.3%, in line with the December quarter level of 30% and at the high end of our guidance range. This was primarily because of the strong gross margin performance, which was somewhat offset by the growth in R&D investment. Our non-GAAP tax rate for the quarter was 11.7%, consistent with our expectations.

Looking further into calendar 2024, we continue to believe the tax rate will be in the low to mid-teens with some possible fluctuations quarter-by-quarter. Other income and expense for the March quarter came in at $10 million in income compared with $5 million in income in the December quarter. The increase in OI&E was due to higher cash balances and higher interest rates. OI&E will be subject to market-related fluctuations that could cause some level of volatility quarter-by-quarter. On the capital return side of things, we allocated approximately $860 million to share repurchases, and we paid $263 million in dividends in the March quarter. Our share repurchase activity included both open market repurchases as well as an accelerated share repurchase arrangement. The ASRs continued to execute into the month of April, and I would just mention we continue to track towards our long-term capital return plans of returning 75% to 100% of our free cash flow. March quarter diluted earnings per share was $7.79 towards the higher end of our guided range. The diluted share count was 132 million shares, on track with expectations and down from the December quarter. We have $1.2 billion remaining on our board authorized share repurchase plan.

Let me pivot to the balance sheet. Our cash and short-term investments at the end of the March quarter totaled $5.7 billion, up a little bit from $5.6 billion at the end of the December quarter. The increase was largely due to collections, with an extra week in the March quarter offset by cash allocated to share buyback, dividend payments, and capital expenditures. Days sales outstanding was 57 days in the March quarter, a decrease from 66 days in the December quarter. Inventory at the end of the March quarter totaled $4.3 billion, down $107 million from the December quarter level. Inventory turns remained flat from the prior quarter level at 1.8 times. We are making progress in bringing inventory levels down, and we will continue to work on this throughout calendar 2024. Non-cash expenses for the March quarter included approximately $77 million in equity compensation, $75 million in depreciation, and $15 million in amortization. Capital expenditures in the March quarter were $104 million, down $12 million from the December quarter. Spending was primarily centered on lab expansions in the United States and Asia, supporting our global strategy to be close to our customers' development locations. We ended the March quarter with approximately 17,200 regular full-time employees, which was flat with the prior quarter.

Let's now turn to our non-GAAP guidance for the June 2024 quarter. We're expecting revenue of $3.8 billion, plus or minus $300 million; gross margin of 47.5%, plus or minus 1 percentage point. This gross margin decline from March is reflective of a quarter-to-quarter change in customer mix; operating margins of 29.5%, plus or minus 1 percentage point. This reflects our continued commitment to prioritize R&D spending; and finally, earnings per share of $7.50 plus or minus $0.75 based on a share count of approximately 131 million shares.

So let me wrap up. 2024 is a year of continued transformation for Lam Research. We're investing in our long-term strategy to extend our technology leadership and operational excellence while efficiently managing overall spending. We're encouraged that the long-term drivers of semiconductor growth, such as artificial intelligence, are seeing accelerated adoption, and we expect Lam to be a strong beneficiary of these trends. We're well positioned for the architectural and material change coming, such as Gate All Around, advanced packaging, backside power delivery, and the move to dry photoresists.

Operator, that concludes our prepared remarks. Tim and I would now like to open up the call for questions.

Questions and Answers

Operator

[Operator Instructions] Our first question today comes from Krish Sankar from TD Cowen. Please go ahead with your question.

Krish Sankar
Analyst at TD Cowen

Hi, thanks for taking my question. Actually, I have first one for Tim. Tim, a question on high aspect ratio etch for NAND, you have very high market share there. And you said in your prepared comments you have defended market share there. Your competitor, Tokyo Electron, introduced a cryo etch product a year ago, but you also have one from three years ago. So I'm curious, can you talk a little bit about the market share dynamics in high aspect ratio etch and the fact that some of your customers are talking about using cryo etch for like 230 layers of NAND. So can you give us some color there on high aspect ratio etch? Then I have a follow up for Doug.

Tim Archer
President and Chief Executive Officer at Lam Research

Sure. Krish, on cryo etch, I had a couple of data points in my prepared remarks, but one is we have an installed base of cryo etch tools used for NAND that's now approaching 1,000 chambers. So obviously we've been in high-volume production with this application for quite some time. And my comment was that there always are customers exploring different options during the development phase. But as I commented, these are very complex processes to put into high-volume production. And so we continue to leverage the learning that we get working with our customers to focus on technology extension and manufacturing readiness. And by that focus, we've been able to defend the decisions once they come to that point of the customer really having to decide which tool to commit their next fabrication line to. So that's all we can say, is we're working hard to make sure we have the best tool for the application. And so far it's winning the day.

Krish Sankar
Analyst at TD Cowen

Got it. So good to hear that the share is still solid. And then a follow up with Doug on margins. Doug, you mentioned about the gross margin maybe moderation in the June quarter due to the customer mix. Is it mainly a function of China and how to think about gross margins in the second half? And maybe if you can extend that question, how to think about opex into the back half of the year too?

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, Krish, I guess I'd say a couple of things. First, gross margin sometimes is a little bit better when we're selling to smaller customers, and I'm not going to pin it to any one geographic region necessarily. But in China there are some smaller customers and they tend to, because we have volume purchase pricing, sometimes they pay a little bit more, but it's not because of the geographic region. It's because of the size of the customer. So that's one thing to think about. In my scripted remarks, as well as what Tim said, is we think that the China region will modulate a little bit as we go through the year. So that's part of what you need to think about. And I've been talking about this for a couple of quarters. So anyway, have that in mind when you're updating your models.

Second, we've been talking, I think, for a couple of quarters now, maybe actually three quarters, about the need to grow R&D investment this year because of these technology changes that we see like Gate All Around, backside power, advanced packaging and so forth, dry photoresist, and we're absolutely planning on doing that. You saw that in the March quarter. R&D as a percent of total spending was the highest that I have seen here at 71%. And we intend to keep investing in R&D. So independent of whatever the top line is, we're going to grow R&D investment this year.

Krish Sankar
Analyst at TD Cowen

Thanks a lot, Tim. Thanks, Doug.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Thanks, Krish.

Tim Archer
President and Chief Executive Officer at Lam Research

Thanks, Krish.

Operator

Our next question comes from Timothy Arcuri from UBS. Please go ahead with your question.

Timothy Arcuri
Analyst at UBS Securities

Thanks a lot. So I wanted to ask about China. So it's going to modulate through the year, the mix, but it sounds like it's still going to be up year-over-year for domestic China this year. So I guess my question is, we've seen some headlines and a few entities being potentially added to the entity list, and I'm wondering if these comments reflect the potential addition of these entities, or does it basically say, hey, if the status quo remains, this is what your assumption is, meaning that if there were entities added, that would be downside to these comments?

Tim Archer
President and Chief Executive Officer at Lam Research

Yeah, Tim. Obviously we can't forecast changes in U.S. trade policy with respect to China that we don't know about. And so, we're basically giving you our best view of what we think our China business will be through the rest of the year and recognizing that there could be changes that we don't foresee. What I will say is we, obviously, we've built up what we believe is a strong government affairs team. We're plugged into all the relevant discussions, and I think over the last couple of years, you've seen we have a pretty strong track record of working with the U.S. government, responding to export control policy, and that's just what we plan to do going on in the future.

Timothy Arcuri
Analyst at UBS Securities

Sure. Thanks, Tim. Thanks. And Doug, I just wanted to ask about service a bit. So there's much different dynamics happening in the spares and in the Reliant business. Can you talk about that? Because certainly sounds like this is an odd situation that we'd have spares be so strong and Reliant be so weak. So can you give us any read throughs there like what does that mean for the future of that business? Thanks.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, listen, I think it's well understood right now that you got two dynamics going on relative to thinking about the different components of CSBG. First, industry utilization is starting to get somewhat better. I would definitely say it's early days for that, but the reason I specifically talked about the spares level versus where it's been over the last couple of years is because of that. That clearly is beginning to show up in our spares business. However, when you look at CSBG in total, we were down now because of the softness in Reliant. I also think that's pretty well understood in the industry, right? Mature node investment outside of the China regions certainly is pretty soft right now. And so you have those two competing dynamics going on that's showing up in the CSBG line. If I was guessing, Tim, right now, CSBG is probably flattish this year from last year because of those two offsetting dynamics, if that helps you think about it.

Timothy Arcuri
Analyst at UBS Securities

Perfect, Doug.

Tim Archer
President and Chief Executive Officer at Lam Research

Yeah, and Tim, I think the only thing I would add there is when you think about the CSBG business a little bit longer term, clearly we commented on utilization starting to tick up, but as we move into 2025, I think we also will see significant upgrade activity coming back in, especially in the NAND space. We've talked about the fact that there is a large portion of that installed base that has not yet been moved towards the technology nodes that are most useful for our customers. And so I think that will also flow through into the CSBG business -- perhaps not so much this year, but clearly as we move into '25 and beyond.

Timothy Arcuri
Analyst at UBS Securities

Thank you very much, Tim. Thanks.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Thanks, Tim.

Operator

Our next question comes from Harlan Sur from J.P. Morgan. Please go ahead with your question.

Harlan Sur
Analyst at J.P. Morgan Securities

Good afternoon. Thanks for taking my question. With the accelerated compute and AI semiconductor segments of the market, there still seems to be a lot of constraints centered around high bandwidth memory and tightness in [Indecipherable] packaging. Obviously, you guys have a very strong position here, as you mentioned, Tim. You guys previously talked about this opportunity as being potentially like $1 billion per year type of revenue opportunity. But just given the strong demand pull and some of the expanding use cases, is the Lam team already on track to drive $1 billion-plus in advanced packaging revenues this year? And now that the trends are in place, right, what's your new or maybe revised view on the revenue opportunity here for the team over the next few years?

Tim Archer
President and Chief Executive Officer at Lam Research

Yeah, Harlan, I think that you're right, there is strong pull. Obviously, we are responding as quickly as we can to that demand. Our advanced packaging shipments this year will be over $1 billion. And so that's an important milestone for us. I don't know how to give you that next milestone. Obviously, we're seeing tremendous growth in demand in this area. Our positions are strong, not only as you said in the foundry/logic side of advanced packaging, but also as we talked about specifically by our very strong positions in HBM related -- what we consider the packaging side of HBM. And so I think it's just an area where we'll see good long-term growth. We are investing again in this area. We've talked in the past about our work in the panel processing space, trying to look ahead to see where the packaging market is going to go to make sure that we are fully capable of taking advantage of what we see is a real long-term secular driver for semiconductors and the equipment industry.

Harlan Sur
Analyst at J.P. Morgan Securities

Yeah, no, congratulations on hitting that milestone. For my follow up question, with your customers and their spending outlooks looking more constructive as cycle dynamics continue to improve, you've got strong tailwinds on manufacturing complexity trends, like the growth outlook appears quite solid for the team. So if I look out beyond this year and the ramp of your new Malaysia manufacturing facility, not only is it a low-cost geo like you guys have mentioned, but you've got highly skilled workforce. You also set up the supply chain support infrastructure locally as well. So I don't know if it's for Tim or Doug, but is there any way to think about the incremental gross margin benefit on incremental revenues that flow out of the Malaysia factory as you start to load it?

Tim Archer
President and Chief Executive Officer at Lam Research

Let me take the first part of it and then I'll let Doug talk specifically about the gross margin comment. I think it's one thing that I think we're feeling very comfortable with, which is your last question was, boy, there must be a lot of demand and you've got to be ramping up for that. I think as we come into this next up cycle, we feel we're very well positioned relative to all the things you just talked about. The physical capacity, the trained workforce, the supply chain has been built up and made more resilient since the last big upturn in the industry where we saw lots of constraints. And so I think from that standpoint, we feel really good that we have executed on the operations side of the house. Now we just need to start seeing the kinds of new peak volumes that will demonstrate that externally. And I'll let Doug address your gross margin question.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, Harlan, I guess I'll just remind you what I've said in prior quarters, which is I don't want you to run ahead of that financial model we put out in 2020. That's still the right way to think about it. Now, obviously, right now we've got quite favorable customer mix. I don't expect that to continue. I don't know, maybe I'm wrong about that, but the benefit from Malaysia after we came through the inflationary stuff and whatnot was completely how we intend to get back the gross margin embedded in that financial model. Maybe we can push a little higher. Certainly, we're not going to stop staying focused on that, but that's the way to think about it, is Malaysia is still into the future. It'll show up when we ramp incremental volumes, and we're ready for that.

Harlan Sur
Analyst at J.P. Morgan Securities

Perfect. Thank you.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Thank you, Harlan.

Tim Archer
President and Chief Executive Officer at Lam Research

Thanks.

Operator

Our next question comes from Srini Pajjuri from Raymond James. Please go ahead with your question.

Srinivas Pajjuri
Analyst at Raymond James & Associates

Thank you. Doug, I think on the China side, just one clarification, were you expecting China to moderate in this quarter? Did it come in better than you expected? And then just to go back to your comment about China moderation through the rest of the year, any particular segment within China? Is it DRAM or is it logic or is it both? If you can add some color to that, that'll be helpful.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, Srini. No, it came in pretty much as we expected. I suggested last quarter that it was going to continue to remain pretty good in March. So, no, that was pretty much as we expected. And I don't know, like at a segment level that I've got any specific color for you relative to the China slowing a little bit in the second half. There's such a broad set of customers there that are in every segment. It's in DRAM, it's in foundry, it's in logic, and it's a broad set. So when we look at that in total, I do see it somewhat weighted here to the early part of the year, and it'll modulate somewhat, but nothing specific I have to share with you from a segment standpoint.

Srinivas Pajjuri
Analyst at Raymond James & Associates

Thanks, Doug. And then maybe for Tim. Tim, some of your large customers got a pretty good amount of subsidies from the government recently on the CHIPS Act and other stuff outside of the U.S. as well. So I'm just wondering, what sort of impact should we expect in terms of your own business, as I guess that money comes in and any, I guess, thoughts on the timing of potential orders from this incremental funding that they're getting? Thank you.

Tim Archer
President and Chief Executive Officer at Lam Research

Yeah. Obviously, you've seen in just even the last few weeks quite a few announcements about the CHIPS Act grants in the U.S. I'll also note that there are similar CHIPS Act programs going on in places like Japan and obviously a little bit further out in the future in Europe and elsewhere. And so we've always said these are more of a '25, '26, '27 timeframe from the equipment side, especially the shorter lead time tools like we provide. So you see the fab coming up, a lot of construction activity, you see long lead time tools go in, and then we know that our time will come. And so I think it's still a '25, '26, '27 opportunity for us. But the important thing is, while that's a lot of extra money, maybe what's really exciting about it is most of that is targeted towards truly the leading-edge nodes.

And one thing about Lam's story is that we have focused a lot of R&D investment to build our position in leading edge foundry logic, in the next generations of DRAM and high bandwidth memory, as well as, of course, continuing our strength in NAND. And so as we see these new fabs come up, it's not only additional spending, but it's at nodes where we believe that we will actually do better from a SAM and market share perspective. And so we're patiently waiting, but we know it's going to come. You can go visit the sites, the fab buildings are there, and they're feverishly working to get them ready for equipment.

Srinivas Pajjuri
Analyst at Raymond James & Associates

Thanks, Tim.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Thanks, Srini.

Operator

Our next question comes from C.J. Muse from Cantor Fitzgerald. Please go ahead with your question.

Christopher Muse
Analyst at Cantor Fitzgerald

Yeah, good afternoon. Thank you for taking the question. I guess, first question, I wanted to try to get a little bit more color on your updated WFE outlook. It looks like you're taking it up by about $7 billion. You talked about that being really litho not impacting you. So I guess, should we infer from that, that you're still expecting WFE up low- to mid-single digits? And as part of that, how are you thinking about those four large drivers, particularly, I guess two or three of them, and the growth potential there and the relative outperformance that you expect to see?

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, C.J., obviously one of our peers in the industry reported last week. We took a look at it and just have a view that we missed a little bit of what was shipping into China. That is the vast majority, if not all of the change in WFE from our point of view. There's always some moving pieces and DRAM's may be a little stronger, trailing it foundry/logic is probably a little bit softer. But at the end of the day, the biggest change that we saw was litho, and we missed it a little bit because it's not part of our addressable market. I'm not sure I caught the second part of your question, C.J. Try it one more time.

Christopher Muse
Analyst at Cantor Fitzgerald

Just as you think about those $1 billion-plus opportunities, particularly around advanced packaging and I guess including HBM within that and also Gate All Around, how do you think you'll fare relative to WFE in '24?

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, I think given the mix we see in some of these technology transitions, it should be incrementally better than it was last year for sure.

Christopher Muse
Analyst at Cantor Fitzgerald

And then just as a quick second question, I guess, third question, if I could sneak it in. Normalization of China into the second half and getting back to maybe a 46%-ish type of normalized gross margin. It sounds like China in your mind today is better. So I guess what would that number be if that continues to be strong for you guys? Thank you.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

C.J., you got to just kind of look at where we've been, right? You're absolutely right. And thanks for mentioning the 46%. That's sort of where gross margin was after we had done some of the Malaysia stuff and before China popped up with those smaller customers. And so the fact that we're above that level is largely customer mix. And so that's how you should be thinking about it. And if we have that mix wrong, then margin you got a couple of data points from the last couple of quarters that you can kind of solve for to understand what it might look like. It'll be in that 46% to 48%-plus range depending on what the mix looks like.

Christopher Muse
Analyst at Cantor Fitzgerald

Thanks so much.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Thanks, C.J.

Operator

Our next question comes from Atif Malik from Citi. Please go ahead with your question.

Atif Malik
Analyst at Smith Barney Citigroup

Hi, thank you for taking my questions. The first one for Tim. It's good to see some green shoots in the NAND market. You talked about double-digit spare parts growth in the NAND and you also talked about that the AI storage inflection for high density SSDs is in front of us. But we do not have the 3 times wafers per bit offset that you're seeing on the DRAM side. So can you paint for us the trajectory of the NAND improvement that you're expecting into second half of next year?

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, we're not going to give you a '25 forecast quite yet. It's way too early for that. It'll be better though, right? It's improving. And Tim, I'll let you handle that.

Tim Archer
President and Chief Executive Officer at Lam Research

Yeah, well, I guess without giving you exact numbers, clearly, we all know that the NAND spending has been incredibly weak for the last 12 to 18 months. And so we're in the very early stages of starting to see that recover. I think if you look at what most of our -- we rely on our customer commentary that they make publicly for a lot of this, but they talk about the fact that maybe 90% of the bits they're shipping are at the leading edge. But when we look at the installed base of our systems, that was my comment, I believe that there is still going to be a large portion of the installed base that will move forward to the next technology nodes. It's the most efficient way for our customers to do that is to upgrade what they already have. And I think you'll see that move forward. And therefore, NAND WFE move up in '25. But because it comes through, to a large degree, through upgrades, Lam's capture rate of every dollar of WFE spent will be much higher than in a greenfield capacity add. And so when I think about Lam's opportunity to outperform in 2025, in NAND, I think it is obviously with high confidence because of the type of spending we would expect to be seeing in 2025. And in the other market segments, it's also pretty high because of the, as I mentioned, the technology inflections that are occurring. It's Gate All Around where we this year we'll actually have over a billion dollars of shipments into the Gate All Around technology nodes. And obviously, as Gate All Around continues to proliferate, our tools like ALD and selective etch will do better. In backside power delivery, we already talked about advanced packaging, and then we obviously have out there in front of us also the work we're doing for dry photoresist processes for EUV. And so I just feel like there are a number of growth drivers for the company besides the one that is the most obvious, which is a NAND recovery in 2025.

Atif Malik
Analyst at Smith Barney Citigroup

Great, thank you. And then one for Doug. Doug, within your China, 42% of sales growth, you talked about multinationals picking up, which came as a positive surprise to me. Can you talk about what's driving that? Are those customers not worried about incremental restrictions or they're just starting to upgrade some of the older technologies?

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

I think it's just being responsive to the demand they see relevant to the capacity that's there. And yeah, I said it's the highest level since mid-last year. Although I do understand, I don't overposition it. The vast majority of the spending in China continues to be the indigenous Chinese customer base. But I just observed that as I was going through the numbers and knowing everybody was going to be asking about China, that was something I thought I'd just mention.

Atif Malik
Analyst at Smith Barney Citigroup

Thank you.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Thanks, Atif.

Operator

Our next question comes from Toshiya Hari from Goldman Sachs. Please go ahead with your question.

Toshiya Hari
Analyst at The Goldman Sachs Group

Hi, guys. Thank you so much for taking the question. I wanted to ask a question on NAND as well. Tim, in your prepared remarks, you talked about the transition from tungsten to molybdenum potentially happening in the market I suppose over the next couple of years. Can you speak to the significance of that in terms of that intensity and how that could impact your business over the next couple of years? Thanks.

Tim Archer
President and Chief Executive Officer at Lam Research

Sure. Well, obviously, anytime there's a material change that requires a new system, it's an opportunity for Lam to provide that technology into the market. And so it's an important change. We call it moly just because it's so hard to say molybdenum, but the change to moly has some significant device benefits. And also I mentioned, the important thing in NAND is it's important in every element of semiconductor devices, but it's the cost and technology. And so one thing that's sometimes lost is part of the transition to moly is also about enabling stack height reduction. So you can go to more layers and limit the stack height in a way that allows you to then have more productive etches, more productive deposition, and other things. And so I think it's an important inflection for the industry and an opportunity for Lam, and we're well positioned to win that inflection, we believe.

Toshiya Hari
Analyst at The Goldman Sachs Group

Got it. Thank you. And then as my follow up on HBM, you talked about your business growing more than 3 times year over year, I think. And I think that comment was consistent with what you had communicated last quarter. Based on the input you have, the market intel you have, what bit growth or market growth in HBM do you think that increase in your business supports in '24? And obviously demand is very strong, but how are you thinking about supply-demand from your perspective, exiting the year and into '25 in HBM? Thank you.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Toshiya, maybe I'll give it a try. When you look at overall WFE demand, HBM is probably 1 point or 2 points of it, although it's growing and adding to the broad market. But it's clearly requiring incremental investment of our SABRE 3D tool, our deep silicon etch tool. And I think it's something you're going to hear us talking about for many years to come. This form factor is going to continue to be important relative to AI enablement and feeding the GPU the data that it needs. Small today, but growing quite rapidly.

Toshiya Hari
Analyst at The Goldman Sachs Group

Thanks so much.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Thanks, Toshiya.

Tim Archer
President and Chief Executive Officer at Lam Research

Thanks, Toshiya.

Operator

Our next question comes from Joe Moore from Morgan Stanley. Please go ahead with your question.

Joseph Moore
Analyst at Morgan Stanley & Co. LLC

Great, thank you. I wanted to follow up. You had mentioned that there was a customer that you're classifying as NAND that others might be classifying as DRAM. I just wanted to double click on that. If you could talk to what's going on there, is that customer doing both and people just have different classifications? Should we be thinking that there's more NAND capacity coming on in China than I had thought before? Can you just talk to that change?

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

I guess all I'd say, Joe, is sometimes there could be a little bit of confusion. And I felt that as I was talking to people over the last quarter. So the reason I said it was, it's actually a non-volatile device, so it's got non-volatile components. And early on because of that, we put everything into non-volatile memory. So non-volatile memory is more than NAND. This isn't an enormous number, but it's big enough that I want people to hear us tell you where it is and you can go think about it. And you probably know who the customer is. I'm not going to disclose it here, but it is one customer in specialty DRAM.

Joseph Moore
Analyst at Morgan Stanley & Co. LLC

Got it. Thank you for that. And then on the Reliant business, can you talk about changes in that business as we move into a lower level of utilization in trailing edge nodes? Do you see that returning to more of a refurbished tools business where there's stuff that you're able to actually refurbish and any ramifications we should think about for profitability there?

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, I guess I'll just comment on refurb, and I would be surprised if we moved back towards a customer's divesting of equipment from fabs and us being able to refurbish those tools. I think you could see obviously the ebbs and flows with demand of how many new tools we ship, but I think it still remains mostly as a new tool, trailing edge node business for us.

Joseph Moore
Analyst at Morgan Stanley & Co. LLC

Great. Thank you.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Thanks, Joe.

Operator

Our next question comes from Stacy Rasgon from Bernstein Research. Please go ahead with your question.

Stacy Rasgon
Analyst at Sanford C. Bernstein & Co., LLC

Hi, guys. Thanks for taking my questions. Doug, I wanted to go back to something you just mentioned here around the relative capital intensity of upgrades versus greenfield investments for NAND as we get into '25. And I get the idea that you should take a larger share of upgrades, but am I thinking about this wrong? Wouldn't the absolute amount of WFE in an upgrade-driven cycle be a lot lower than if it was in a greenfield cycle? Like, how do I think about the puts and takes of those two variables in the context of NAND growth into 2025?

Tim Archer
President and Chief Executive Officer at Lam Research

Sure, Stacy, I'll take that. I was actually the one that -- this is Tim [Indecipherable] made the comment. Yeah, no problem. Well, I just wanted to own it in case you disagree. But I think you're thinking about it exactly right. The reason upgrades are so attractive for customers is the total WFE spend is lower. That's why they upgrade the installed base. And so my comment was specifically about Lam's outperformance relative to whatever WFE is for the industry next year. And so in an upgrade-heavy cycle, which obviously we haven't had for the last two years, in that next cycle of NAND upgrades, we're saying we would capture a higher percentage of whatever that WFE is. Now, we've said in the past that Lam's opportunity actually because of that much higher capture rate is not so different in terms of revenue for every bit added through an upgrade versus a greenfield, so WFE comes down. That's why it's attractive for customers. But for Lam, we capture almost the same amount of revenue because of the much higher capture rate. And so...

Stacy Rasgon
Analyst at Sanford C. Bernstein & Co., LLC

So you're indifferent to an upgrade cycle versus greenfield cycle.

Tim Archer
President and Chief Executive Officer at Lam Research

Well, the only thing I would say is because there's been lots of questions about whether Lam's market share defense and others. We're not quite indifferent because the power of the installed base is that, again, when the customer's preferred path is to upgrade what they already have, means that the positions don't change. And so, Lam's very strong position carries forward in that case. So agnostic from a financial perspective. But obviously our position in the industry continues to strengthen through each of those upgrade cycles.

Stacy Rasgon
Analyst at Sanford C. Bernstein & Co., LLC

Got it. That's helpful. For my follow up, again, I wanted to go back to the segment expectations in China. So I know this, I think, it was Doug who said you didn't have anything to tell us on segments. But if I look at your slide deck, on slide 5, unless I'm reading it wrong, it does seem to suggest that, it says sustained investment in domestic China for DRAM in calendar '24 and weakness in foundry/logic. So is that actually what you're expecting, the China degradation through the year and foundry/logic and DRAM sustaining? Or am I just reading the slide wrong?

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah. No, Stacy, you have one customer in China DRAM, so I got to be careful talking about that. China is going to modulate through the year. It's not going to stay at 42% is the statement that I made. And it's going to modulate in every segment, I believe, in the China region.

Stacy Rasgon
Analyst at Sanford C. Bernstein & Co., LLC

Okay. The slide says you expect led by HBM sustained investment in domestic China DRAM. So that's not what's going to happen?

Tim Archer
President and Chief Executive Officer at Lam Research

Unfortunately, I don't have the slides in front of me right now, Stacy. We're having some technical challenges. It's all going to modulate.

Stacy Rasgon
Analyst at Sanford C. Bernstein & Co., LLC

Slide 5, when you pull it up. Okay, thank you, guys.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, no problems. Thanks, Stacy.

Operator

Our next question comes from Vivek Arya from Bank of America Securities. Please go ahead with your question.

Vivek Arya
Analyst at BofA Global Research

Thanks for taking my question. I wanted to revisit your comment about spares doubling. How important is that data point? Like what were you expecting instead versus the actual result? And how much does it increase your confidence about NAND recovery? Because you're not really increasing the WFE expectations for this year, right? So on surface, this comment about spares doubling sounds like a very important data point, but I'm not sure how to quite put that in context of what it means for Lam this year.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Okay. Well, first, Vivek, we didn't say spares would double. We said that it was a double-digit percent growth quarter-on-quarter in our spares revenue, so not doubling. But I think that in general, the way we look at that and why we made that comment, obviously, it's positive for us to see spares move up. If you go back in our commentary previously about CSBG over the last few years, we've said spares revenue will grow year-on-year because the installed base itself rose. However, through this downturn, the cuts in fab utilization were so severe that we actually saw spares revenue come down, which surprised us a bit. So maybe to your point of expectations, we knew that as soon as customers started to utilize the fabs and bring some of the tools back online, we would see spares increase. We said that would be the first sign that the end market was really starting to improve. And so the reason we called it out was that obviously, it further confirms, I think, what you're hearing from our customers, which is that utilization is starting to improve. It doesn't tie to WFE because utilization of what you have is one issue. When you choose to spend more to either upgrade technology or add capacity is a second decision. We said that is likely still more of a 2025 event on the equipment spend side, but you have to get the first indication, which is utilization improvement, spares improving, and then the rest will come.

Vivek Arya
Analyst at BofA Global Research

And then the other thing on the call. I believe, Doug, you mentioned CSBG will be flat year over year, or did I not hear that properly? Or did you mean it sequentially, or did you mean it for this calendar year? Because if it is for the calendar year, that implies pretty strong mid-teens growth in the second half. So if you could clarify what you said about CSBG growth and whatever timeframe you were referring to.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, I said flattish, Vivek, plus or minus flat. And by the way, that's not a new disclosure. We said that last quarter as well.

Vivek Arya
Analyst at BofA Global Research

For this calendar year or for...

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Correct, for this calendar year, yes.

Vivek Arya
Analyst at BofA Global Research

[Indecipherable] got it. Okay, thank you.

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Thanks, Vivek.

Operator

Our next question comes from Chris Caso from Wolfe Research. Please go ahead with your question.

Christopher Caso
Analyst at Wolfe Research

Yeah, thank you. I guess the first question is on DRAM. And could you perhaps talk about some of the moving parts that are going on with that right now? And I think in a previous question, you talked about the China part of DRAM expecting that to moderate through the year. Obviously, the direct revenue from HBM sounds good, but there's a broader capacity question going on in DRAM that's fungible with HBM. Could you talk about what your expectations are for that as the year progresses?

Tim Archer
President and Chief Executive Officer at Lam Research

Yeah. Let me start. I think just to address this one point about the fungibility of capacity. You're correct. Obviously, if you're looking at DDR5, I think we've made a couple of comments in the past, though. One, we're talking specifically about the additional tools that are needed to enable HBM. And so that's why we talk about our electroplating and our Syndion silicon etch tools, because those are added to whatever capacity you might have for DRAM, you need to add those tools to make HBM possible. And so that's what we're seeing rise by 3 times this year.

On the second side when you go from conventional DRAM to HBM, our customers have talked about and the industry talked about the much larger die size because you've had to create the real estate that's needed to add the TSVs. And so while you may be able to translate some of the same DRAM equipment over to produce the same number of bits, you'll need more of that equipment as well. So those are the key drivers as you're moving for additional spending growth as you move into HBM DRAM.

Christopher Caso
Analyst at Wolfe Research

Got it. As a follow up, you made in your prepared remarks a comment talking about $1 billion in revenue from Gate All Around this year. Could you talk about that in context of where the overall opportunity is for Gate All Around? Does that $1 billion represent what you would consider to be Gate All Around capacity? Is that just getting the processes started? Where are we with that Gate All Around ramp?

Tim Archer
President and Chief Executive Officer at Lam Research

Yeah. I think we're really just starting at Gate All Around. Our comment was $1 billion of shipments into the Gate All Around nodes this year, and it's across all of our types of products that helped enable Gate All Around smaller technology nodes. And so what we've said is that every technology node etch and dep intensity grows and our SAM opportunity expands, and so Gate All Around being an important node where there's need for new tools from Lam like in our selective etch product portfolio or in our ALD product portfolio that might not have existed to the same degree in prior nodes. And so those are the areas where we're seeing growth as well as just growth in the rest of our advanced technology products in etch and dep.

Ram Ganesh
Head of Investor Relations at Lam Research

Thank you, Chris. Operator, we'll take one more question.

Operator

Our next and final question comes from Brian Chin from Stifel. Please go ahead with your question.

Brian Chin
Analyst at Stifel Nicolaus & Co., Inc.

Hi, there. Thanks for sneaking me in. The company has previously discussed an incremental $1 billion to $1.5 billion increase to WFE for every 1% AI server penetration. Last year, given the underutilization of capacity and the focus on conversion activity, maybe the math was lower last year. But now that utilization rates for advanced foundry and DRAM nodes have recovered, do you see AI growth, I guess, driving spending levels more consistent with that $1 billion to $1.5 billion? And do you already see that maybe playing out to some degree in your order backlog?

Doug Bettinger
Executive Vice President, Chief Financial Officer at Lam Research

Yeah, Brian, we're not going to talk about order backlog, but the statements we made, and you've got it right, which was for every percent that is an AI server versus an enterprise class server because of the 8 times DRAM, much bigger logic die, the GPUs, and the 3 times NAND, it's $1 billion to $1.5 billion incremental WFE. And that's absolutely still how we see it. But you're right, like if things are underutilized, you don't need to spend nearly as much. But that's a temporary situation. Eventually things get back to being utilized.

Brian Chin
Analyst at Stifel Nicolaus & Co., Inc.

Okay. Yeah, that's helpful. And then maybe just a follow up on the last follow up. But again, that $1 billion shipment for Gate All Around in 2024 calendar year, how much of that is second half weighted? And is it more pilot production or how much is it pilot versus high volume?

Tim Archer
President and Chief Executive Officer at Lam Research

Yeah. We're not going to give color on exactly when we're shipping just because we figure that's more for our customers to talk about in terms of their expansion on those nodes.

Brian Chin
Analyst at Stifel Nicolaus & Co., Inc.

Okay. Fair enough. [Indecipherable] more second half bias. Thank you.

Tim Archer
President and Chief Executive Officer at Lam Research

Thanks, Brian.

Ram Ganesh
Head of Investor Relations at Lam Research

Thank you, operator. That concludes our remarks, guys. Thanks for joining the call.

Operator

[Operator Closing Remarks]

Alpha Street Logo

 


Featured Articles and Offers

Search Headlines:

More Earnings Resources from MarketBeat

Upcoming Earnings: