Compare Stocks

Comparative Price Performance Over Time

Compare Stocks - Price & Volume

CompanyCurrent Price50-Day Moving Average52-Week RangeMarket CapBetaAvg. VolumeToday's Volume
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$197.50
+0.7%
$203.34
$109.15
$214.91
$164.10B1.596.15 million shs4.23 million shs
ASML Holding stock logo
ASML
ASML
$902.51
+1.1%
$955.81
$563.99
$1,056.34
$356.12B1.481.24 million shs1.11 million shs
Lam Research Co. stock logo
LRCX
Lam Research
$901.47
+1.9%
$943.68
$493.42
$1,007.39
$116.01B1.51977,835 shs1.31 million shs
Beginners Guide To Retirement Stocks Cover

Click the link below and we'll send you MarketBeat's list of seven best retirement stocks and why they should be in your portfolio.

Get This Free Report

Price Performance

Company1-Day Performance7-Day Performance30-Day Performance90-Day Performance1-Year Performance
Applied Materials, Inc. stock logo
AMAT
Applied Materials
+0.73%+1.64%-4.44%+18.33%+79.04%
ASML Holding stock logo
ASML
ASML
+1.14%+1.52%-7.08%+4.01%+48.17%
Lam Research Co. stock logo
LRCX
Lam Research
+1.87%+1.41%-6.80%+7.44%+81.24%

MarketRank™

CompanyOverall ScoreAnalyst's OpinionShort Interest ScoreDividend StrengthESG ScoreNews and Social Media SentimentCompany OwnershipEarnings & Valuation
Applied Materials, Inc. stock logo
AMAT
Applied Materials
4.4874 of 5 stars
2.33.02.52.32.71.73.1
ASML Holding stock logo
ASML
ASML
3.7492 of 5 stars
3.43.01.70.02.40.03.1
Lam Research Co. stock logo
LRCX
Lam Research
4.8134 of 5 stars
2.34.02.52.53.82.53.1

Analyst Ratings

CompanyConsensus Rating ScoreConsensus RatingConsensus Price Target% Upside from Current Price
Applied Materials, Inc. stock logo
AMAT
Applied Materials
2.69
Moderate Buy$201.502.03% Upside
ASML Holding stock logo
ASML
ASML
2.85
Moderate Buy$1,036.0014.79% Upside
Lam Research Co. stock logo
LRCX
Lam Research
2.68
Moderate Buy$950.855.48% Upside

Current Analyst Ratings

Latest ASML, AMAT, and LRCX Analyst Ratings

DateCompanyBrokerageActionRatingPrice TargetDetails
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Citigroup
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$975.00 ➝ $1,025.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Barclays
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetEqual Weight ➝ Equal Weight$825.00 ➝ $865.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
JPMorgan Chase & Co.
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetOverweight ➝ Overweight$900.00 ➝ $950.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
UBS Group
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$1,130.00 ➝ $1,150.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Cantor Fitzgerald
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingNeutral ➝ Neutral$1,100.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Mizuho
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$935.00 ➝ $960.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Susquehanna
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Lower Price TargetNeutral ➝ Neutral$880.00 ➝ $850.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
Needham & Company LLC
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Reiterated RatingBuy ➝ Buy$1,030.00
4/25/2024
Lam Research Co. stock logo
LRCX
Lam Research
TD Cowen
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Boost Price TargetBuy ➝ Buy$975.00 ➝ $1,000.00
4/19/2024
Lam Research Co. stock logo
LRCX
Lam Research
B. Riley
Subscribe to MarketBeat All Access for the recommendation accuracy rating
UpgradeNeutral ➝ Buy$870.00 ➝ $1,100.00
4/16/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Evercore ISI
Subscribe to MarketBeat All Access for the recommendation accuracy rating
Initiated CoverageOutperform$260.00
(Data available from 4/26/2021 forward. View 10+ years of historical ratings with our analyst ratings screener.)

Sales & Book Value

CompanyAnnual RevenuePrice/SalesCashflowPrice/CashBook ValuePrice/Book
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$26.52B6.19$8.91 per share22.17$19.47 per share10.14
ASML Holding stock logo
ASML
ASML
$29.82B11.94$23.55 per share38.32$37.95 per share23.78
Lam Research Co. stock logo
LRCX
Lam Research
$17.43B6.78$37.80 per share23.85$61.12 per share14.75

Profitability & Earnings

CompanyNet IncomeEPSTrailing P/E RatioForward P/E RatioP/E GrowthNet MarginsReturn on Equity (ROE)Return on Assets (ROA)Next Earnings Date
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$6.86B$8.5023.2421.282.8927.03%43.56%22.53%5/16/2024 (Estimated)
ASML Holding stock logo
ASML
ASML
$8.48B$19.5846.0929.472.2527.23%56.99%18.51%7/17/2024 (Estimated)
Lam Research Co. stock logo
LRCX
Lam Research
$4.51B$27.1933.1525.963.2025.35%45.76%19.97%7/24/2024 (Estimated)

Latest ASML, AMAT, and LRCX Earnings

DateQuarterCompanyConsensus EstimateReported EPSBeat/MissGap EPSRevenue EstimateActual RevenueDetails
4/24/2024Q3 2024
Lam Research Co. stock logo
LRCX
Lam Research
$7.26$7.79+$0.53$8.24$3.72 billion$3.79 billion    
2/15/2024Q1 24
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.90$2.13+$0.23$1.85$6.48 billion$6.71 billion    

Dividends

CompanyAnnual PayoutDividend Yield3-Year Dividend GrowthPayout RatioYears of Consecutive Growth
Applied Materials, Inc. stock logo
AMAT
Applied Materials
$1.280.65%+11.93%15.06%7 Years
ASML Holding stock logo
ASML
ASML
$5.290.59%N/A27.02%1 Years
Lam Research Co. stock logo
LRCX
Lam Research
$8.000.89%+14.99%29.42%9 Years

Latest ASML, AMAT, and LRCX Dividends

AnnouncementCompanyPeriodAmountYieldEx-Dividend DateRecord DatePayable Date
3/8/2024
Applied Materials, Inc. stock logo
AMAT
Applied Materials
Quarterly$0.400.79%5/22/20245/23/20246/13/2024
4/25/2024
ASML Holding stock logo
ASML
ASML
Quarterly$1.34300.62%4/26/20244/29/20245/7/2024
1/26/2024
ASML Holding stock logo
ASML
ASML
quarterly$1.57940.6%4/26/20244/29/20245/7/2024
2/7/2024
Lam Research Co. stock logo
LRCX
Lam Research
quarterly$2.000.93%3/12/20243/13/20244/3/2024
(Data available from 1/1/2013 forward)

Debt

CompanyDebt-to-Equity RatioCurrent RatioQuick Ratio
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.31
2.71
1.91
ASML Holding stock logo
ASML
ASML
0.33
1.54
0.88
Lam Research Co. stock logo
LRCX
Lam Research
0.61
3.06
2.02

Ownership

Institutional Ownership

CompanyInstitutional Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
80.56%
ASML Holding stock logo
ASML
ASML
26.07%
Lam Research Co. stock logo
LRCX
Lam Research
84.61%

Insider Ownership

CompanyInsider Ownership
Applied Materials, Inc. stock logo
AMAT
Applied Materials
0.29%
ASML Holding stock logo
ASML
ASML
N/A
Lam Research Co. stock logo
LRCX
Lam Research
0.32%

Miscellaneous

CompanyEmployeesShares OutstandingFree FloatOptionable
Applied Materials, Inc. stock logo
AMAT
Applied Materials
34,000830.90 million828.49 millionOptionable
ASML Holding stock logo
ASML
ASML
42,416394.59 millionN/AOptionable
Lam Research Co. stock logo
LRCX
Lam Research
17,200131.10 million130.68 millionOptionable

ASML, AMAT, and LRCX Headlines

SourceHeadline
Lam Research (NASDAQ:LRCX) Shares Gap Up  After Better-Than-Expected EarningsLam Research (NASDAQ:LRCX) Shares Gap Up After Better-Than-Expected Earnings
americanbankingnews.com - April 26 at 1:32 AM
Lam Research Corporation 2024 Q3 - Results - Earnings Call PresentationLam Research Corporation 2024 Q3 - Results - Earnings Call Presentation
seekingalpha.com - April 25 at 9:12 PM
Bank Julius Baer & Co. Ltd Zurich Has $109.42 Million Stock Holdings in Lam Research Co. (NASDAQ:LRCX)Bank Julius Baer & Co. Ltd Zurich Has $109.42 Million Stock Holdings in Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - April 25 at 8:21 PM
Lam Research (NASDAQ:LRCX) PT Raised to $1,025.00 at CitigroupLam Research (NASDAQ:LRCX) PT Raised to $1,025.00 at Citigroup
marketbeat.com - April 25 at 6:43 PM
Top Tech Stocks Moving Higher After EarningsTop Tech Stocks Moving Higher After Earnings
zacks.com - April 25 at 5:36 PM
Lam Research (LRCX) Surpasses Q3 Expectations with Strong Outlook Amid AI DemandLam Research (LRCX) Surpasses Q3 Expectations with Strong Outlook Amid AI Demand
gurufocus.com - April 25 at 5:04 PM
Lam Research (LRCX) Q3 Earnings Beat, Revenues Decline Y/YLam Research (LRCX) Q3 Earnings Beat, Revenues Decline Y/Y
zacks.com - April 25 at 1:00 PM
Lam Research (NASDAQ:LRCX) Releases  Earnings Results, Beats Estimates By $0.53 EPSLam Research (NASDAQ:LRCX) Releases Earnings Results, Beats Estimates By $0.53 EPS
marketbeat.com - April 25 at 11:05 AM
Lam Research (NASDAQ:LRCX) Shares Gap Up  on Earnings BeatLam Research (NASDAQ:LRCX) Shares Gap Up on Earnings Beat
marketbeat.com - April 25 at 10:49 AM
Lam Researchs (LRCX) Neutral Rating Reaffirmed at Cantor FitzgeraldLam Research's (LRCX) Neutral Rating Reaffirmed at Cantor Fitzgerald
marketbeat.com - April 25 at 10:25 AM
Buy Rating on Lam Research Corp. Backed by Cyclical Recovery and Technology ExpansionBuy Rating on Lam Research Corp. Backed by Cyclical Recovery and Technology Expansion
markets.businessinsider.com - April 25 at 8:45 AM
Lam Research (NASDAQ:LRCX) Given New $850.00 Price Target at SusquehannaLam Research (NASDAQ:LRCX) Given New $850.00 Price Target at Susquehanna
marketbeat.com - April 25 at 8:09 AM
Analysts Are Bullish on These Technology Stocks: Lam Research (LRCX), Cadence Design (CDNS)Analysts Are Bullish on These Technology Stocks: Lam Research (LRCX), Cadence Design (CDNS)
markets.businessinsider.com - April 25 at 3:45 AM
Lam Research Corp (LRCX) Q3 2024 Earnings Call Transcript Highlights: Key Financial Metrics and ...Lam Research Corp (LRCX) Q3 2024 Earnings Call Transcript Highlights: Key Financial Metrics and ...
finance.yahoo.com - April 25 at 3:45 AM
LRCX Stock Earnings: Lam Research Beats EPS, Beats Revenue for Q3 2024LRCX Stock Earnings: Lam Research Beats EPS, Beats Revenue for Q3 2024
investorplace.com - April 24 at 11:13 PM
Lam Research (NASDAQ:LRCX) Updates Q4 2024 Earnings GuidanceLam Research (NASDAQ:LRCX) Updates Q4 2024 Earnings Guidance
marketbeat.com - April 24 at 7:16 PM
Lam Research (LRCX) Beats Q3 Earnings and Revenue EstimatesLam Research (LRCX) Beats Q3 Earnings and Revenue Estimates
zacks.com - April 24 at 6:16 PM
Lam Research: Fiscal Q3 Earnings SnapshotLam Research: Fiscal Q3 Earnings Snapshot
sfgate.com - April 24 at 5:44 PM
Lam Research Corporation Announces Climb In Q3 ProfitLam Research Corporation Announces Climb In Q3 Profit
markets.businessinsider.com - April 24 at 5:44 PM
Lam Research (NASDAQ:LRCX) Q1: Beats On RevenueLam Research (NASDAQ:LRCX) Q1: Beats On Revenue
finance.yahoo.com - April 24 at 5:44 PM
Lam Research forecasts quarterly revenue above estimates on AI chip boomLam Research forecasts quarterly revenue above estimates on AI chip boom
finance.yahoo.com - April 24 at 5:44 PM
Lam Research Corp (LRCX) Reports Q3 2024 Earnings: Surpasses Analyst Revenue ForecastsLam Research Corp (LRCX) Reports Q3 2024 Earnings: Surpasses Analyst Revenue Forecasts
finance.yahoo.com - April 24 at 5:44 PM
Lam Research Stock Slips Despite Solid EarningsLam Research Stock Slips Despite Solid Earnings
barrons.com - April 24 at 5:03 PM
Yousif Capital Management LLC Sells 1,823 Shares of Lam Research Co. (NASDAQ:LRCX)Yousif Capital Management LLC Sells 1,823 Shares of Lam Research Co. (NASDAQ:LRCX)
marketbeat.com - April 24 at 4:00 PM

New MarketBeat Followers Over Time

Media Sentiment Over Time

Top Headlines

All Headlines

Company Descriptions

Applied Materials logo

Applied Materials

NASDAQ:AMAT
Applied Materials, Inc. engages in the provision of manufacturing equipment, services, and software to the semiconductor, display, and related industries. The company operates through three segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets. The Semiconductor Systems segment develops, manufactures, and sells various manufacturing equipment that is used to fabricate semiconductor chips or integrated circuits. This segment also offers various technologies, including epitaxy, ion implantation, oxidation/nitridation, rapid thermal processing, physical vapor deposition, chemical vapor deposition, chemical mechanical planarization, electrochemical deposition, atomic layer deposition, etching, and selective deposition and removal, as well as metrology and inspection tools. The Applied Global Services segment provides integrated solutions to optimize equipment and fab performance and productivity comprising spares, upgrades, services, remanufactured earlier generation equipment, and factory automation software for semiconductor, display, and other products. The Display and Adjacent Markets segment offers products for manufacturing liquid crystal displays; organic light-emitting diodes; and other display technologies for TVs, monitors, laptops, personal computers, electronic tablets, smart phones, and other consumer-oriented devices. It operates in the United States, China, Korea, Taiwan, Japan, Southeast Asia, and Europe. The company was incorporated in 1967 and is headquartered in Santa Clara, California.
ASML logo

ASML

NASDAQ:ASML
ASML Holding N.V. develops, produces, markets, sells, and services advanced semiconductor equipment systems for chipmakers. It offers advanced semiconductor equipment systems, including lithography, metrology, and inspection systems. The company also provides extreme ultraviolet lithography systems; and deep ultraviolet lithography systems comprising immersion and dry lithography solutions to manufacture various range of semiconductor nodes and technologies. In addition, it offers metrology and inspection systems, including YieldStar optical metrology systems to assess the quality of patterns on the wafers; and HMI electron beam solutions to locate and analyze individual chip defects. Further, the company provides computational lithography solutions, and lithography systems and control software solutions; and refurbishes and upgrades lithography systems, as well as offers customer support and related services. It operates in Japan, South Korea, Singapore, Taiwan, China, rest of Asia, the Netherlands, rest of Europe, the Middle East, Africa, and the United States. The company was formerly known as ASM Lithography Holding N.V. and changed its name to ASML Holding N.V. in 2001. ASML Holding N.V. was founded in 1984 and is headquartered in Veldhoven, the Netherlands.
Lam Research logo

Lam Research

NASDAQ:LRCX
Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; SOLA ultraviolet thermal processing products for film treatments; and VECTOR plasma-enhanced CVD ALD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications; and Metryx mass metrology systems for high precision in-line mass measurement in semiconductor wafer manufacturing. The company sells its products and services to semiconductors industry in the United States, China, Europe, Japan, Korea, Southeast Asia, Taiwan, and internationally. The company was incorporated in 1980 and is headquartered in Fremont, California.